Paul Franzon

Affiliations: 
North Carolina State University, Raleigh, NC 
Area:
Electronics and Electrical Engineering, Computer Science
Google:
"Paul Franzon"

Parents

Sign in to add mentor
Kamran Eshraghian grad student (Neurotree)

Children

Sign in to add trainee
Bruce E. Duewer grad student 2001 NCSU
Pronita Mehrotra grad student 2002 NCSU
Andrew J. Stanaski grad student 2003 NCSU
Stephen E. Mick grad student 2004 NCSU
David P. Nackashi grad student 2004 NCSU
Monther Aldwairi grad student 2006 NCSU
Christian J. Amsinck grad student 2006 NCSU
John Damiano grad student 2006 NCSU
Neil H. Di Spigna grad student 2006 NCSU
Sachin R. Sonkusale grad student 2006 NCSU
Dhruba Chandra grad student 2007 NCSU
Ullas C. Pazhayaveetil grad student 2007 NCSU
Ambrish K. Varma grad student 2007 NCSU
Meeta Yadav grad student 2007 NCSU
Karthik Chandrasekar grad student 2009 NCSU
Yongjin Choi grad student 2010 NCSU
Eun C. Oh grad student 2010 NCSU
Daniel J. Schinke grad student 2011 NCSU
Thorlindur Thorolfsson grad student 2011 NCSU
Chanyoun Won grad student 2011 NCSU
Matthew I. Hamlett grad student 2012 NCSU
Hsuan-Jung Su grad student 2012 NCSU
Xiangzhong Xue grad student 2012 NCSU
Ojas A. Bapat grad student 2013 NCSU
Akalu L. Lentiro grad student 2013 NCSU
Shivam Priyadarshi grad student 2013 NCSU
Evan L. Erickson grad student 2014 NCSU
Peter Gadfort grad student 2014 NCSU
Theodore R. Harris post-doc NCSU (Physics Tree)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Zhao W, Bhanushali K, Franzon P. (2016) Design of a rectifier-free UHF gen-2 compatible RFID tag using RF-only logic 2016 Ieee International Conference On Rfid, Rfid 2016
Bapat OA, Franzon PD, Fastow RM. (2014) A generic and scalable architecture for a large acoustic model and large vocabulary speech recognition accelerator using logic on memory Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 2701-2712
Gadfort P, Franzon PD. (2014) Millimeter-scale true 3-D antenna-in-package structures for near-field power transfer Ieee Transactions On Components, Packaging and Manufacturing Technology. 4: 1574-1581
Priyadarshi S, Davis WR, Steer MB, et al. (2014) Thermal pathfinding for 3-D ICs Ieee Transactions On Components, Packaging and Manufacturing Technology. 4: 1159-1168
Sarkar B, Ramanan N, Jayanti S, et al. (2014) Dual floating gate unified memory MOSFET with simultaneous dynamic and non-volatile operation Ieee Electron Device Letters. 35: 48-50
Priyadarshi S, Davis WR, Franzon PD. (2014) Pathfinder3D: A framework for exploring early thermal tradeoffs in 3DIC Icicdt 2014 - Ieee International Conference On Integrated Circuit Design and Technology
Kim H, Won C, Franzon PD. (2013) Crosstalk-canceling multimode interconnect using transmitter encoding Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1562-1567
Wyers EJ, Steer MB, Kelley CT, et al. (2013) A bounded and discretized Nelder-Mead algorithm suitable for RFIC calibration Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 1787-1799
Priyadarshi S, Choudhary NK, Dwiel B, et al. (2013) Hetero2 3D integration: A scheme for optimizing efficiency/cost of Chip Multiprocessors Proceedings - International Symposium On Quality Electronic Design, Isqed. 1-7
Franzon PD, Priyadarshi S, Lipa S, et al. (2013) Exploring early design tradeoffs in 3DIC Proceedings - Ieee International Symposium On Circuits and Systems. 545-549
See more...