Min-Chi Hsiao, Ph.D. - Publications

Affiliations: 
2009 Biomedical Engineering University of Southern California, Los Angeles, CA, United States 
Area:
Neural engineering

14/209 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Xu H, Weltman A, Hsiao MC, Scholten K, Meng E, Berger TW, Song D, Huijing Xu, Weltman A, Min-Chi Hsiao, Scholten K, Meng E, Berger TW, Dong Song, Meng E, ... ... Hsiao MC, et al. A flexible parylene probe for in vivo recordings from multiple subregions of the rat hippocampus. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2016: 2806-2809. PMID 28227102 DOI: 10.1109/EMBC.2016.7591313  0.632
2015 Hsiao MC, Yu PN, Song D, Liu CY, Heck CN, Millett D, Berger TW. An in vitro seizure model from human hippocampal slices using multi-electrode arrays. Journal of Neuroscience Methods. 244: 154-63. PMID 25244953 DOI: 10.1016/J.Jneumeth.2014.09.010  0.627
2015 Song D, Hsiao MC, Opris I, Hampson RE, Marmarelis VZ, Gerhardt GA, Deadwyler SA, Berger TW. Hippocampal microcircuits, functional connectivity, and prostheses Recent Advances On the Modular Organization of the Cortex. 385-405. DOI: 10.1007/978-94-017-9900-3_20  0.55
2013 Hsiao MC, Song D, Berger TW. Nonlinear dynamical model based control of in vitro hippocampal output. Frontiers in Neural Circuits. 7: 20. PMID 23429994 DOI: 10.3389/Fncir.2013.00020  0.656
2012 Hsiao MC, Yu PN, Song D, Liu CY, Heck CN, Millett D, Berger TW. Spatio-temporal inter-ictal activity recorded from human epileptic hippocampal slices. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2012: 5166-9. PMID 23367092 DOI: 10.1109/EMBC.2012.6347157  0.589
2011 Parittotokkaporn T, Sirirattanapan J, Yu P, Hsiao MC, Song D, Berger TW. Focal potassium microinjection in rat hippocampal slices inducing interictal activities Bmeicon-2011 - 4th Biomedical Engineering International Conference. 269-272. DOI: 10.1109/BMEiCon.2012.6172068  0.553
2009 Hsiao MC, Song D, Berger TW. Using an open-loop inverse control strategy to regulate CA1 nonlinear dynamics for an in vitro hippocampal prosthesis model. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2009: 1529-32. PMID 19963755 DOI: 10.1109/IEMBS.2009.5333072  0.632
2008 Hsiao MC, Song D, Berger TW. Control theory-based regulation of hippocampal CA1 nonlinear dynamics. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2008: 5535-8. PMID 19163971 DOI: 10.1109/IEMBS.2008.4650468  0.635
2008 Stephens ML, Spencer DD, Cavus I, Hsiao MC, Song D, Deadwyler SA, Hampson RE, Putz D, Quintero JE, Bensalem-Owen MK, Hascup KN, Rutherford EC, Day BK, Nickell JR, Pomerleau F, et al. Microelectrode-Based Epilepsy Therapy: A Hybrid Neural Prosthesis Incorporating Seizure Prediction and Intervention with Biomimetic Maintenance of Normal Hippocampal Function Computational Neuroscience in Epilepsy. 559-586. DOI: 10.1016/B978-012373649-9.50036-3  0.549
2006 Courellis SH, Zanos TP, Hsiao MC, Hampson RE, Deadwyler SA, Marmarelis VZ, Berger TW. Modeling hippocampal nonlinear dynamic transformations with principal dynamic modes. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 1: 2300-3. PMID 17946509 DOI: 10.1109/IEMBS.2006.260271  0.661
2006 Hsiao MC, Chan CH, Srinivasan V, Ahuja A, Erinjippurath G, Zanos TP, Gholmieh G, Song D, Wills JD, LaCoss J, Courellis S, Tanguay AR, Granacki JJ, Marmarelis VZ, Berger TW. VLSI implementation of a nonlinear neuronal model: a "neural prosthesis" to restore hippocampal trisynaptic dynamics. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 1: 4396-9. PMID 17946244 DOI: 10.1109/IEMBS.2006.260138  0.701
2006 Gholmieh G, Soussou W, Han M, Ahuja A, Hsiao MC, Song D, Tanguay AR, Berger TW. Custom-designed high-density conformal planar multielectrode arrays for brain slice electrophysiology. Journal of Neuroscience Methods. 152: 116-29. PMID 16289315 DOI: 10.1016/J.Jneumeth.2005.08.021  0.67
2006 Soussou W, Gholmieh G, Han M, Ahuja A, Song D, Hsiao MC, Wang Z, Tanguay AR, Berger TW. Mapping spatio-temporal electrophysiological activity in hippocampal slices with conformal planar multi-electrode arrays Advances in Network Electrophysiology: Using Multi-Electrode Arrays. 127-152. DOI: 10.1007/0-387-25858-2_6  0.677
2004 Chan CH, Srinivasan V, Hsiao MC, Khanna S, Wills JD, Gholmieh G, LaCoss J, Courellis S, Granacki JJ, Berger T. Real time hardware neural spike amplitude extraction 2004 Ieee International Workshop On Biomedical Circuits and Systems. S1.8-20-S1.8-23.  0.679
Low-probability matches (unlikely to be authored by this person)
2009 Berg RW, Chen MT, Huang HC, Hsiao MC, Cheng H. A method for unit recording in the lumbar spinal cord during locomotion of the conscious adult rat. Journal of Neuroscience Methods. 182: 49-54. PMID 19505501 DOI: 10.1016/J.Jneumeth.2009.05.023  0.273
2015 Gent K, Hsiao MS. Abstraction-based relation mining for functional test generation Proceedings of the Ieee Vlsi Test Symposium. 2015. DOI: 10.1109/VTS.2015.7116286  0.154
2015 Hsiao MHH. Foreword Taiwan and the 'China Impact': Challenges and Opportunities. xvii-xviii. DOI: 10.4324/9781315671116  0.12
2014 Liu CY, Huang CF, Hsiao MC. System design and implementation of the electrical power usage reminders for smart homes Lecture Notes in Electrical Engineering. 293: 511-517. DOI: 10.1007/978-3-319-04573-3_64  0.11
2015 Tsai CR, Hsiao MC, Shen WC, Wu AYA, Cheng CM. A 1.96mm2 low-latency multi-mode crypto-coprocessor for PKC-based IoT security protocols Proceedings - Ieee International Symposium On Circuits and Systems. 2015: 834-837. DOI: 10.1109/ISCAS.2015.7168763  0.103
2001 Hsieh JH, Chang YC, Chung JL, Hsiao MC, Chen SC, Yen CT, Chai CY. The relationship between FTL and NA, DMV or CVLM in central cardiovascular control. The Chinese Journal of Physiology. 44: 169-79. PMID 11908546  0.102
2015 Prabhu S, Acharya VV, Bagri S, Hsiao MS. A diagnosis-friendly LBIST architecture with property checking Proceedings - International Test Conference. 2015. DOI: 10.1109/TEST.2014.7035359  0.101
2014 Liao KY, Chen PJ, Lin AF, Li JCM, Hsiao MS, Wang LT. GPU-based timing-aware test generation for small delay defects Proceedings - 2014 19th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2014.6847835  0.096
2015 Elbayoumi M, Hsiao MS, ElNainay M. Novel SAT-based invariant-directed low-power synthesis Proceedings - International Symposium On Quality Electronic Design, Isqed. 2015: 217-222. DOI: 10.1109/ISQED.2015.7085428  0.095
2015 Lin TW, Hsiao MC, Chou SW, Shen HH, Lin JY. Glucose-assisted synthesis of nickel-cobalt sulfide/carbon nanotube composites as efficient cathode materials for hybrid supercapacitors Journal of the Electrochemical Society. 162: A1493-A1499. DOI: 10.1149/2.0511508jes  0.093
2014 Prabhu S, Acharya VV, Bagri S, Hsiao MS. Property-checking based LBIST for improved diagnosability Proceedings - 2014 19th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2014.6847828  0.092
2015 Acharya VV, Bagri S, Hsiao MS. Branch guided functional test generation at the RTL Proceedings - 2015 20th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2015.7138737  0.09
2015 Su LH, Hsiao MC. Two-agent scheduling in open shops subject to machine availability and eligibility constraints Journal of Industrial Engineering and Management. 8: 1103-1124. DOI: 10.3926/jiem.1352  0.09
2015 Tanwir S, Prabhu S, Hsiao M, Lingappan L. Information-theoretic and statistical methods of failure log selection for improved diagnosis Proceedings - International Test Conference. 2015. DOI: 10.1109/TEST.2015.7342381  0.087
2016 Cheng PW, Lee HC, Lu PJ, Chen HH, Lai CC, Sun GC, Yeh TC, Hsiao M, Lin YT, Liu CP, Tseng CJ. Resveratrol Inhibition of Rac1-Derived Reactive Oxygen Species by AMPK Decreases Blood Pressure in a Fructose-Induced Rat Model of Hypertension. Scientific Reports. 6: 25342. PMID 27138844 DOI: 10.1038/srep25342  0.086
2015 Puri P, Hsiao MS. Fast stimuli generation for design validation of RTL circuits using binary particle swarm optimization Proceedings of Ieee Computer Society Annual Symposium On Vlsi, Isvlsi. 7: 573-578. DOI: 10.1109/ISVLSI.2015.26  0.084
2013 Wu TC, Chen HT, Chang HY, Yang CY, Hsiao MC, Cheng ML, Chen JC. Mineralocorticoid receptor antagonist spironolactone prevents chronic corticosterone induced depression-like behavior. Psychoneuroendocrinology. 38: 871-83. PMID 23044404 DOI: 10.1016/J.Psyneuen.2012.09.011  0.082
2015 Hsiao MY, Chang YW, Hsue CW. Chirped signal generation using microstrip lines 2015 International Workshop On Electromagnetics: Applications and Student Innovation Competition, Iwem 2015. DOI: 10.1109/iWEM.2015.7365070  0.081
2015 Chang JS, Su CY, Yu WH, Lee WJ, Liu YP, Lai TC, Jan YH, Yang YF, Shen CN, Shew JY, Lu J, Yang CJ, Huang MS, Lu PJ, Lin YF, ... ... Hsiao M, et al. GIT1 promotes lung cancer cell metastasis through modulating Rac1/Cdc42 activity and is associated with poor prognosis. Oncotarget. PMID 26462147 DOI: 10.18632/oncotarget.5531  0.079
2015 Özçakar L, Kara M, Chang KV, Ulaşli AM, Hung CY, Tekin L, Wu CH, Tok F, Hsiao MY, Akkaya N, Wang TG, Çaril AB, Chen WS, De Muynck M. EURO-MUSCULUS/USPRM Basic Scanning Protocols for wrist and hand European Journal of Physical and Rehabilitation Medicine. 51: 479-484.  0.079
2014 Hsiao MH, Chiou SH, Larsson M, Hung KH, Wang YL, Liu CJ, Liu DM. A temperature-induced and shear-reversible assembly of latanoprost-loaded amphiphilic chitosan colloids: characterization and in vivo glaucoma treatment. Acta Biomaterialia. 10: 3188-96. PMID 24681374 DOI: 10.1016/j.actbio.2014.03.016  0.079
2015 Özçakar L, Kara M, Chang KV, Hung CY, Tekin L, Ulaşli AM, Wu CH, Tok F, Hsiao MY, Akkaya N, Wang TG, Çaril AB, Chen WS, De Muynck M. EURO-MUSCULUS/USPRM Basic Scanning Protocols for elbow European Journal of Physical and Rehabilitation Medicine. 51: 485-489.  0.077
2015 Özçakar L, Kara M, Chang KV, Tekin L, Hung CY, Ulaşli AM, Wu CH, Tok F, Hsiao MY, Akkaya N, Wang TG, Çaril AB, Chen WS, De Muynck M. EURO-MUSCULUS/USPRM basic scanning protocols for shoulder European Journal of Physical and Rehabilitation Medicine. 51: 491-496.  0.077
2016 Hsiao MY, Hung CY, Chang KV, Özçakar L. Dynamic Ultrasonography of the Intra-Articular Long Head Biceps Tendon and Superior Labrum. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. PMID 27175560 DOI: 10.1097/PHM.0000000000000528  0.076
2015 Özçakar L, Kara M, Chang KV, Bayram AÇ, Hung CY, Tak F, Wu CH, Akkaya N, Hsiao MY, Tekin L, Wang TG, Ulaşli AM, Chen WS, De Muynck M. EURO-MUSCULUS/USPRM basic scanning protocols for ankle and foot European Journal of Physical and Rehabilitation Medicine. 51: 647-653.  0.076
2015 Chou HM, Hsiao MY, Chen YC, Yang KH, Tsao J, Lung CL, Chang SC, Jone WB, Chen TF. Soft-error-tolerant design methodology for balancing performance, power, and reliability Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 23: 1628-1639. DOI: 10.1109/Tvlsi.2014.2348872  0.076
2014 Hsiao MC, Liu CY. An intelligent traffic regulator scheme in multimedia networks Lecture Notes in Electrical Engineering. 293: 47-55. DOI: 10.1007/978-3-319-04573-3_7  0.074
2015 Lin YH, Cheng YC, Chu NN, Hsu W, Tang YH, Chen PL, Yang CC, Hsiao MH, Hsiao CN. The study of compensative structure assisted convex and concave corner structures etching by inductively coupled plasma-reactive ion etch (ICP-RIE) 2015 Ieee 10th International Conference On Nano/Micro Engineered and Molecular Systems, Nems 2015. 491-493. DOI: 10.1109/NEMS.2015.7147475  0.072
2015 Özçakar L, Kara M, Chang KV, Akkaya N, Hung CY, Tok F, Wu CH, Çarli AB, Hsiao MY, Tekin L, Wang TG, Ulaşli AM, Chen WS, De Muynck M. EURO-MUSCULUS/USPRM basic scanning protocols for hip European Journal of Physical and Rehabilitation Medicine. 51: 635-640.  0.07
2015 Hsueh PYS, Zhu XX, Hsiao MJH, Lee SYF, Deng V, Ramakrishnan S. Automatic summarization of risk factors preceding disease progression an insight-driven healthcare service case study on using medical records of diabetic patients World Wide Web. 18: 1163-1175. DOI: 10.1007/S11280-014-0304-2  0.07
2015 Lee WJ, Hsiao M, Chang JL, Yang SF, Tseng TH, Cheng CW, Chow JM, Lin KH, Lin YW, Liu CC, Lee LM, Chien MH. Quercetin induces mitochondrial-derived apoptosis via reactive oxygen species-mediated ERK activation in HL-60 leukemia cells and xenograft. Archives of Toxicology. 89: 1103-17. PMID 25138434 DOI: 10.1007/s00204-014-1300-0  0.07
2014 Lu WH, Hsieh KS, Lu PJ, Wu YS, Ho WY, Cheng PW, Lai CC, Hsiao M, Tseng CJ. Different impacts of α- and β-blockers in neurogenic hypertension produced by brainstem lesions in rat. Anesthesiology. 120: 1192-204. PMID 24614323 DOI: 10.1097/ALN.0000000000000218  0.068
2015 Chang TC, Yeh CT, Adebayo BO, Lin YC, Deng L, Rao YK, Huang CC, Lee WH, Wu AT, Hsiao M, Wu CH, Wang LS, Tzeng YM. 4-Acetylantroquinonol B inhibits colorectal cancer tumorigenesis and suppresses cancer stem-like phenotype. Toxicology and Applied Pharmacology. PMID 26235807 DOI: 10.1016/j.taap.2015.07.025  0.067
2015 Hsiao MK, Wu SK, Chen HL. Adsorption and dehydrogenation behaviors of the NH3 molecule on the W(111) surface: A first-principles study Journal of Physical Chemistry C. 119: 4188-4198. DOI: 10.1021/jp512506h  0.067
2005 Hwang KS, Tan SW, Hsiao MC, Wu CS. Cooperative multiagent congestion control for high-speed networks. Ieee Transactions On Systems, Man, and Cybernetics. Part B, Cybernetics : a Publication of the Ieee Systems, Man, and Cybernetics Society. 35: 255-68. PMID 15828654  0.066
2015 Bagri S, Gent K, Hsiao MS. Signal domain based reachability analysis in RTL circuits Proceedings - International Symposium On Quality Electronic Design, Isqed. 2015: 250-256. DOI: 10.1109/ISQED.2015.7085434  0.065
2015 Özçakar L, Kara M, Chang KV, Tok K, Hung CY, Akkaya N, Wu CH, Çarli AB, Hsiao MY, Tekin L, Wang TG, Ulaşli AM, Chen WS, De Muynck M. EURO-MUSCULUS/USPRM basic scanning protocols for knee European Journal of Physical and Rehabilitation Medicine. 51: 641-646.  0.065
2015 Chen SC, Hsiao MS, She HC. The effects of static versus dynamic 3D representations on 10th grade students' atomic orbital mental model construction: Evidence from eye movement behaviors Computers in Human Behavior. 53: 169-180. DOI: 10.1016/j.chb.2015.07.003  0.064
2015 Hsieh JJ, Hsiao MF. Quantile regression based on a weighted approach under semi-competing risks data Journal of Statistical Computation and Simulation. 85: 2793-2807. DOI: 10.1080/00949655.2014.941844  0.064
2014 Lou YW, Wang PY, Yeh SC, Chuang PK, Li ST, Wu CY, Khoo KH, Hsiao M, Hsu TL, Wong CH. Stage-specific embryonic antigen-4 as a potential therapeutic target in glioblastoma multiforme and other cancers. Proceedings of the National Academy of Sciences of the United States of America. 111: 2482-7. PMID 24550271 DOI: 10.1073/Pnas.1400283111  0.063
2014 Jean RD, Cheng WD, Hsiao MH, Chou FH, Bow JS, Liu DM. Highly electrostatically-induced detection selectivity and sensitivity for a colloidal biosensor made of chitosan nanoparticle decorated with a few bare-surfaced gold nanorods. Biosensors & Bioelectronics. 52: 111-7. PMID 24035854 DOI: 10.1016/j.bios.2013.08.047  0.063
2016 Lin YW, Lee LM, Lee WJ, Chu CY, Tan P, Yang YC, Chen WY, Yang SF, Hsiao M, Chien MH. Melatonin inhibits MMP-9 transactivation and renal cell carcinoma metastasis by suppressing Akt-MAPKs pathway and NF-κB-DNA- binding activity. Journal of Pineal Research. PMID 26732239 DOI: 10.1111/jpi.12308  0.063
2015 Pan WA, Tsai HY, Wang SC, Hsiao M, Wu PY, Tsai MD. The RNA recognition motif of NIFK is required for rRNA maturation during cell cycle progression. Rna Biology. 12: 255-67. PMID 25826659 DOI: 10.1080/15476286.2015.1017221  0.062
2014 Hsiao MS, Yusoff SFM, Winnik MA, Manners I. Crystallization-driven self-assembly of block copolymers with a short crystallizable core-forming segment: Controlling micelle morphology through the influence of molar mass and solvent selectivity Macromolecules. 47: 2361-2372. DOI: 10.1021/Ma402429D  0.061
2015 Schmitz T, Bassler N, Blaickner M, Ziegner M, Hsiao MC, Liu YH, Koivunoro H, Auterinen I, Serén T, Kotiluoto P, Palmans H, Sharpe P, Langguth P, Hampel G. The alanine detector in BNCT dosimetry: dose response in thermal and epithermal neutron fields. Medical Physics. 42: 400-11. PMID 25563280 DOI: 10.1118/1.4901299  0.061
2015 Chen SC, She HC, Hsiao MS. Using eye-tracking to investigate the different 3D representation on students' mental model construction Proceedings - Ieee 15th International Conference On Advanced Learning Technologies: Advanced Technologies For Supporting Open Access to Formal and Informal Learning, Icalt 2015. 388-390. DOI: 10.1109/ICALT.2015.150  0.06
2014 Ou HW, Chen HY, Tseng HC, Hsiao MW, Chang YL, Jheng NY, Lai YC, Shih TY, Lin YT. Catalytic improvement of titanium complexes bearing bis(aminophenolate) in ring-opening polymerization of l-lactide and ε-caprolactone Journal of Molecular Catalysis a: Chemical. 394: 97-104. DOI: 10.1016/j.molcata.2014.07.003  0.059
2015 Wu CC, Wu HJ, Wang CH, Lin CH, Hsu SC, Chen YR, Hsiao M, Schuyler SC, Lu FL, Ma N, Lu J. Akt suppresses DLK for maintaining self-renewal of mouse embryonic stem cells. Cell Cycle (Georgetown, Tex.). 14: 1207-17. PMID 25802931 DOI: 10.1080/15384101.2015.1014144  0.058
2014 Hsiao MY, Chen YH, Yang LK. 40nm NAND flash reliability failure analysis with identification tools combination Proceedings of the International Symposium On the Physical and Failure Analysis of Integrated Circuits, Ipfa. 274-277. DOI: 10.1109/IPFA.2014.6898130  0.058
2016 Lai CC, Liu CP, Cheng PW, Lu PJ, Hsiao M, Lu WH, Sun GC, Liou JC, Tseng CJ. Paricalcitol Attenuates Cardiac Fibrosis and Expression of Endothelial Cell Transition Markers in Isoproterenol-Induced Cardiomyopathic Rats. Critical Care Medicine. PMID 27065465 DOI: 10.1097/CCM.0000000000001736  0.057
2015 Cheng PW, Chen YY, Cheng WH, Lu PJ, Chen HH, Chen BR, Yeh TC, Sun GC, Hsiao M, Tseng CJ. Wnt Signaling Regulates Blood Pressure by Downregulating a GSK-3β-Mediated Pathway to Enhance Insulin Signaling in the Central Nervous System. Diabetes. PMID 25883115 DOI: 10.2337/db14-1439  0.057
2014 Hua KT, Lee WJ, Yang SF, Chen CK, Hsiao M, Ku CC, Wei LH, Kuo ML, Chien MH. Vascular endothelial growth factor-C modulates proliferation and chemoresistance in acute myeloid leukemic cells through an endothelin-1-dependent induction of cyclooxygenase-2 Biochimica Et Biophysica Acta - Molecular Cell Research. 1843: 387-397. PMID 24184161 DOI: 10.1016/j.bbamcr.2013.10.015  0.056
2014 Tang CW, Lin SC, Lin YC, Hsiao MY, Wu YS, Lin C. Case study of embedded memory failure analysis for dislocation issue Proceedings of the International Symposium On the Physical and Failure Analysis of Integrated Circuits, Ipfa. 169-172. DOI: 10.1109/IPFA.2014.6898135  0.056
2015 Chen JT, Chen CH, Ku KL, Hsiao M, Chiang CP, Hsu TL, Chen MH, Wong CH. Glycoprotein B7-H3 overexpression and aberrant glycosylation in oral cancer and immune response. Proceedings of the National Academy of Sciences of the United States of America. PMID 26438868 DOI: 10.1073/Pnas.1516991112  0.054
2015 Hsiao MK, Su CH, Liu CY, Chen HL. Computational investigation of NH3 adsorption and dehydrogenation on a W-modified Fe(111) surface. Physical Chemistry Chemical Physics : Pccp. PMID 26524324 DOI: 10.1039/c5cp05983f  0.053
2003 Hsiao MC, Liu CY. Effective open-label treatment of premenstrual dysphoric disorder with venlafaxine. Psychiatry and Clinical Neurosciences. 57: 317-21. PMID 12753573 DOI: 10.1046/j.1440-1819.2003.01123.x  0.053
1999 Hsiao MC, Liu CY, Yang YY, Lu CS, Yeh EK. Progressive myoclonic epilepsies syndrome (Ramsay Hunt syndrome) with mental disorder: report of two cases. Psychiatry and Clinical Neurosciences. 53: 575-8. PMID 10595682 DOI: 10.1046/j.1440-1819.1999.00608.x  0.052
2015 Tu CC, Hsiao MY, Wang L. Knowledge-How and Performance Success Philosophia (United States). 43: 1157-1170. DOI: 10.1007/S11406-015-9657-8  0.05
2015 Lee WJ, Chien MH, Chow JM, Chang JL, Wen YC, Lin YW, Cheng CW, Lai GM, Hsiao M, Lee LM. Nonautophagic cytoplasmic vacuolation death induction in human PC-3M prostate cancer by curcumin through reactive oxygen species -mediated endoplasmic reticulum stress. Scientific Reports. 5: 10420. PMID 26013662 DOI: 10.1038/srep10420  0.049
2015 Dare AJ, Ng-Kamstra JS, Patra J, Fu SH, Rodriguez PS, Hsiao M, Jotkar RM, Thakur JS, Sheth J, Jha P. Deaths from acute abdominal conditions and geographical access to surgical care in India: a nationally representative spatial analysis. The Lancet. Global Health. 3: e646-53. PMID 26278186 DOI: 10.1016/S2214-109X(15)00079-0  0.048
2016 Chan YC, Chen CW, Chan MH, Chang YC, Chang WM, Chi LH, Yu HM, Lin YF, Tsai DP, Liu RS, Hsiao M. MMP2-sensing up-conversion nanoparticle for fluorescence biosensing in head and neck cancer cells. Biosensors & Bioelectronics. 80: 131-139. PMID 26820361 DOI: 10.1016/j.bios.2016.01.049  0.048
2014 Venkatakrishnan SV, Hsiao MS, Garvin N, Jackson MA, De Graef M, Simmons J, Bouman CA, Drummy LF. Model-based iterative reconstruction for low-dose electron tomography Microscopy and Microanalysis. 20: 802-803. DOI: 10.1017/S143192761400573X  0.048
2015 Lin CH, Hsiao MD, Lin WT. Object-based image segmentation and retrieval for texture images Imaging Science Journal. 63: 220-234. DOI: 10.1179/1743131X15Y.0000000002  0.048
2014 Chang YA, Hong WC, Hsiao MC, Yang BY, Wu AY, Cheng CM. Hydra: An energy-efficient programmable cryptographic coprocessor supporting elliptic-curve pairings over fields of large characteristics Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 8639: 174-186. DOI: 10.1007/978-3-319-09843-2_14  0.048
2015 Koerner H, Opsitnick E, Grabowski CA, Drummy LF, Hsiao MS, Che J, Pike M, Person V, Bockstaller MR, Meth JS, Vaia RA. Physical aging and glass transition of hairy nanoparticle assemblies Journal of Polymer Science, Part B: Polymer Physics. DOI: 10.1002/Polb.23931  0.047
2015 Chang YW, Su YJ, Hsiao M, Wei KC, Lin WH, Liang CL, Chen SC, Lee JL. Diverse Targets of β-catenin during the Epithelial-Mesenchymal Transition Define Cancer Stem Cells and Predict Disease Relapse. Cancer Research. PMID 26122848 DOI: 10.1158/0008-5472.CAN-14-3265  0.047
2013 Hsiao MC, Ma CC, Chiang JC, Ho KK, Chou TY, Xie X, Tsai CH, Chang LH, Hsieh CK. Thermally conductive and electrically insulating epoxy nanocomposites with thermally reduced graphene oxide-silica hybrid nanosheets. Nanoscale. 5: 5863-71. PMID 23695448 DOI: 10.1039/c3nr01471a  0.047
2012 Lin YC, Hwang JJ, Wang SJ, Yang BH, Chang CW, Hsiao MC, Chou FI. Macro- and microdistributions of boron drug for boron neutron capture therapy in an animal model. Anticancer Research. 32: 2657-64. PMID 22753723  0.047
2014 Hsiao MC, Piotrowski A, Alexander J, Callens T, Fu C, Mikhail FM, Claes KB, Messiaen L. Palindrome-mediated and replication-dependent pathogenic structural rearrangements within the NF1 gene. Human Mutation. 35: 891-8. PMID 24760680 DOI: 10.1002/humu.22569  0.047
2015 Valley-Omar Z, Nindo F, Mudau M, Hsiao M, Martin DP. Phylogenetic Exploration of Nosocomial Transmission Chains of 2009 Influenza A/H1N1 among Children Admitted at Red Cross War Memorial Children's Hospital, Cape Town, South Africa in 2011. Plos One. 10: e0141744. PMID 26565994 DOI: 10.1371/journal.pone.0141744  0.047
2003 Hsiao MC, Lin KJ, Liu CY, Tzen KY, Yen TC. Dopamine transporter change in drug-naive schizophrenia: an imaging study with 99mTc-TRODAT-1. Schizophrenia Research. 65: 39-46. PMID 14623373  0.046
2010 Hsiao MC, Liao SH, Yen MY, Liu PI, Pu NW, Wang CA, Ma CC. Preparation of covalently functionalized graphene using residual oxygen-containing functional groups. Acs Applied Materials & Interfaces. 2: 3092-9. PMID 20949901 DOI: 10.1021/Am100597D  0.045
2014 Chen CK, Yang CY, Hua KT, Hua KT, Ho MC, Johansson G, Jeng YM, Chen CN, Chen MW, Lee WJ, Su JL, Lai TC, Chou CC, Ho BC, Chang CF, ... ... Hsiao M, et al. Leukocyte cell-derived chemotaxin 2 antagonizes MET receptor activation to suppress hepatocellular carcinoma vascular invasion by protein tyrosine phosphatase 1B recruitment. Hepatology (Baltimore, Md.). 59: 974-85. PMID 24114941 DOI: 10.1002/hep.26738  0.045
2015 Lin CH, Hsiao MD, Lai KJ. A study on using image serving technology for high precision mechanical positioning Mechanical Systems and Signal Processing. DOI: 10.1016/j.ymssp.2016.03.017  0.045
2015 Sung PL, Jan YH, Lin SC, Huang CC, Lin H, Wen KC, Chao KC, Lai CR, Wang PH, Chuang CM, Wu HH, Twu NF, Yen MS, Hsiao M, Huang CF. Periostin in tumor microenvironment is associated with poor prognosis and platinum resistance in epithelial ovarian carcinoma. Oncotarget. PMID 26716408 DOI: 10.18632/Oncotarget.6700  0.045
2011 Lin HL, Chou HH, Liu CY, Hsu SC, Hsiao MC, Juang YY. The role of consulting psychiatrists for obstetric and gynecologic inpatients. Chang Gung Medical Journal. 34: 57-64. PMID 21392475  0.044
2015 Munagani I, Hsiao MS, Abbott AL. On the uniqueness of fingerprints via mining of statistically rare features 2015 Ieee International Symposium On Technologies For Homeland Security, Hst 2015. DOI: 10.1109/THS.2015.7225286  0.044
2014 Chou HS, Hsiao MH, Hung WY, Yen TY, Lin HY, Liu DM. A pH-responsive amphiphilic chitosan-pyranine core-shell nanoparticle for controlled drug delivery, imaging and intracellular pH measurement Journal of Materials Chemistry B. 20: 6580-6589. DOI: 10.1039/c4tb01080a  0.044
2013 Hsiao MC, Lin KJ, Liu CY, Schatz DB. The interaction between dopamine transporter function, gender differences, and possible laterality in depression. Psychiatry Research. 211: 72-7. PMID 23036826 DOI: 10.1016/j.pscychresns.2012.06.004  0.043
2014 Wu CS, Yu CY, Chuang CY, Hsiao M, Kao CF, Kuo HC, Chuang TJ. Integrative transcriptome sequencing identifies trans-splicing events with important roles in human embryonic stem cell pluripotency. Genome Research. 24: 25-36. PMID 24131564 DOI: 10.1101/gr.159483.113  0.043
2010 Lee JD, Lin YH, Hsu HL, Huang YC, Wu CY, Ryu SJ, Lee M, Huang YC, Hsiao MC, Chang YJ, Chang CH, Lee TH. Genetic polymorphisms of low density lipoprotein receptor can modify stroke presentation. Neurological Research. 32: 535-40. PMID 19589204 DOI: 10.1179/174313209X455682  0.042
2015 Cheung SK, Chuang PK, Huang HW, Hwang-Verslues WW, Cho CH, Yang WB, Shen CN, Hsiao M, Hsu TL, Chang CF, Wong CH. Stage-specific embryonic antigen-3 (SSEA-3) and β3GalT5 are cancer specific and significant markers for breast cancer stem cells. Proceedings of the National Academy of Sciences of the United States of America. PMID 26677875 DOI: 10.1073/pnas.1522602113  0.042
2015 Wen YC, Lee WJ, Tan P, Yang SF, Hsiao M, Lee LM, Chien MH. By inhibiting snail signaling and miR-23a-3p, osthole suppresses the EMT-mediated metastatic ability in prostate cancer. Oncotarget. PMID 26110567  0.041
2016 Lin TC, Su CY, Wu PY, Lai TC, Pan WA, Jan YH, Chang YC, Yeh CT, Chen CL, Ger LP, Chang HT, Yang CJ, Huang MS, Liu YP, Lin YF, ... ... Hsiao M, et al. The nucleolar protein NIFK promotes cancer progression via CK1α/β-catenin in metastasis and Ki-67-dependent cell proliferation. Elife. 5. PMID 26984280 DOI: 10.7554/eLife.11288  0.041
2015 Lin TC, Liu YP, Chan YC, Su CY, Lin YF, Hsu SL, Yang CS, Hsiao M. Ghrelin promotes renal cell carcinoma metastasis via Snail activation and is associated with poor prognosis. The Journal of Pathology. PMID 25925728 DOI: 10.1002/path.4552  0.041
2014 Fan YS, Das U, Hsiao MY, Liu MH, Lin W. Chemoselective intramolecular Wittig reactions for the synthesis of oxazoles and benzofurans. The Journal of Organic Chemistry. 79: 11567-82. PMID 25405811 DOI: 10.1021/Jo502232Q  0.04
2015 Mu Q, Jeon M, Hsiao MH, Patton VK, Wang K, Press OW, Zhang M. Stable and efficient Paclitaxel nanoparticles for targeted glioblastoma therapy. Advanced Healthcare Materials. 4: 1236-45. PMID 25761648 DOI: 10.1002/Adhm.201500034  0.04
2015 Hua KT, Chien MH, Lee WJ, Hsieh FK, Li CF, Cheng TY, Wang MY, Chen JS, Chow JM, Jan YH, Hsiao M, Kuo ML. Keap1-Nrf2 interaction suppresses cell motility in lung adenocarcinomas by targeting the S100P protein. Clinical Cancer Research : An Official Journal of the American Association For Cancer Research. PMID 26078391 DOI: 10.1158/1078-0432.CCR-14-2880  0.04
2011 Hsiao MC, Liao SH, Lin YF, Wang CA, Pu NW, Tsai HM, Ma CC. Preparation and characterization of polypropylene-graft-thermally reduced graphite oxide with an improved compatibility with polypropylene-based nanocomposite. Nanoscale. 3: 1516-22. PMID 21431177 DOI: 10.1039/C0Nr00981D  0.039
2015 Hsiao MY, Shyu SG, Wu CH, Özçakar L. Dynamic ultrasound imaging for type a intrasheath subluxation of the peroneal tendons. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. 94: e53-4. PMID 25888669 DOI: 10.1097/PHM.0000000000000297  0.039
2014 Cheng PW, Ho WY, Su YT, Lu PJ, Chen BZ, Cheng WH, Lu WH, Sun GC, Yeh TC, Hsiao M, Tseng CJ. Resveratrol decreases fructose-induced oxidative stress, mediated by NADPH oxidase via an AMPK-dependent mechanism. British Journal of Pharmacology. 171: 2739-50. PMID 24547812 DOI: 10.1111/bph.12648  0.039
2015 Chen CW, Huang JH, Lai TC, Jan YH, Hsiao M, Chen CH, Hwu YK, Liu RS. Evaluation of the intracellular uptake and cytotoxicity effect of TiO2 nanostructures for various human oral and lung cells under dark conditions Toxicology Research. 5: 303-311. DOI: 10.1039/c5tx00312a  0.039
2015 Chen HH, Lu PJ, Chen BR, Hsiao M, Ho WY, Tseng CJ. Heme oxygenase-1 ameliorates kidney ischemia-reperfusion injury in mice through extracellular signal-regulated kinase 1/2-enhanced tubular epithelium proliferation Biochimica Et Biophysica Acta - Molecular Basis of Disease. 1852: 2195-2201. DOI: 10.1016/j.bbadis.2015.07.018  0.038
2015 Hsiao MC, Piotrowski A, Callens T, Fu C, Wimmer K, Claes KB, Messiaen L. Decoding NF1 Intragenic Copy-Number Variations. American Journal of Human Genetics. 97: 238-49. PMID 26189818 DOI: 10.1016/j.ajhg.2015.06.002  0.038
2014 Bhunia S, Hsiao MS, Banga M, Narasimhan S. Hardware trojan attacks: Threat analysis and countermeasures Proceedings of the Ieee. 102: 1229-1247. DOI: 10.1109/JPROC.2014.2334493  0.036
2016 Peng HY, Jiang SS, Hsiao JR, Hsiao M, Hsu YM, Wu GH, Chang WM, Chang JY, Jin SC, Shiah SG. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma. Molecular Oncology. PMID 27038552 DOI: 10.1016/j.molonc.2016.03.001  0.036
2015 Hsiao MY, Hung CY, Chang KV, Chien KL, Tu YK, Wang TG. Comparative effectiveness of autologous blood-derived products, shock-wave therapy and corticosteroids for treatment of plantar fasciitis: a network meta-analysis. Rheumatology (Oxford, England). PMID 25848072 DOI: 10.1093/rheumatology/kev010  0.036
2014 Sharma S, Gomez D, Demestral C, Hsiao M, Rutka J, Nathens AB. Emergency access to neurosurgical care for patients with traumatic brain injury Journal of the American College of Surgeons. 218: 51-57. DOI: 10.1016/j.jamcollsurg.2013.10.005  0.036
2015 Chen PY, Hsiao MH. Service science in higher education: Productization of offshore programs in transnational education Curriculum Design and Classroom Management: Concepts, Methodologies, Tools, and Applications. 3: 1587-1598. DOI: 10.4018/978-1-4666-8246-7.ch084  0.035
2013 Bai YM, Chang CJ, Tsai SY, Chen YC, Hsiao MC, Li CT, Tu P, Chang SW, Shen WW, Su TP. Taiwan consensus of pharmacological treatment for bipolar disorder. Journal of the Chinese Medical Association : Jcma. 76: 547-56. PMID 23933343 DOI: 10.1016/j.jcma.2013.06.013  0.035
2016 Chen GW, Kuo SM, Yang SL, Gong YN, Hsiao MR, Liu YC, Shih SR, Tsao KC. Genomic Signatures for Avian H7N9 Viruses Adapting to Humans. Plos One. 11: e0148432. PMID 26845764 DOI: 10.1371/journal.pone.0148432  0.035
2015 Bedada AG, Hsiao M, Bakanisi B, Motsumi M, Azzie G. Establishing a contextually appropriate laparoscopic program in resource-restricted environments: experience in Botswana Annals of Surgery. 261: 807-811. PMID 24915782 DOI: 10.1097/SLA.0000000000000691  0.035
1999 Hsiao MC, Liu CY, Yang YY, Yeh EK. Delusional disorder: retrospective analysis of 86 Chinese outpatients. Psychiatry and Clinical Neurosciences. 53: 673-6. PMID 10687749 DOI: 10.1046/j.1440-1819.1999.00624.x  0.034
2015 Chen CW, Lee PH, Chan YC, Hsiao M, Chen CH, Wu PC, Wu PR, Tsai DP, Tu D, Chen X, Liu RS. Plasmon-induced hyperthermia: hybrid upconversion NaYF4:Yb/Er and gold nanomaterials for oral cancer photothermal therapy Journal of Materials Chemistry B. 3: 8293-8302. DOI: 10.1039/c5tb01393c  0.034
2015 Su CY, Liu YP, Yang CJ, Lin YF, Chiou J, Chi LH, Lee JJ, Wu AT, Lu PJ, Huang MS, Hsiao M. Plasminogen Activator Inhibitor-2 Plays a Leading Prognostic Role among Protease Families in Non-Small Cell Lung Cancer. Plos One. 10: e0133411. PMID 26230665 DOI: 10.1371/journal.pone.0133411  0.034
1986 Nair MG, Nanavati NT, Nair IG, Kisliuk RL, Gaumont Y, Hsiao MC, Kalman TI. Folate analogues. 26. Syntheses and antifolate activity of 10-substituted derivatives of 5,8-dideazafolic acid and of the poly-gamma-glutamyl metabolites of N10-propargyl-5,8-dideazafolic acid (PDDF). Journal of Medicinal Chemistry. 29: 1754-60. PMID 3091832 DOI: 10.1021/Jm00159A032  0.034
2014 Hsiao MK, Chung YH, Hung YM, Chen HL. Reaction mechanisms and kinetics of the iminovinylidene radical with NO: ab initio study. The Journal of Chemical Physics. 140: 204316. PMID 24880289 DOI: 10.1063/1.4876015  0.033
2005 Juang YY, Liu CY, Chen CY, Hsu SC, Hsiao MC, Hung CI, Yeh EK. Geropsychiatric consultation in a general hospital in Taiwan. Psychiatry and Clinical Neurosciences. 59: 240-5. PMID 15896215 DOI: 10.1111/j.1440-1819.2005.01366.x  0.033
2007 Lin CC, Bai YM, Liu CY, Hsiao MC, Chen JY, Tsai SJ, Ouyang WC, Wu CH, Li YC. Web-based tools can be used reliably to detect patients with major depressive disorder and subsyndromal depressive symptoms. Bmc Psychiatry. 7: 12. PMID 17425774 DOI: 10.1186/1471-244X-7-12  0.033
2013 Lin HL, Hsu YT, Liu CY, Chen CH, Hsiao MC, Liu YL, Shen WW, Hsiao CF, Liu SI, Chang LH, Tang HS, Lai HL, Lin PS, Lin KM, Tsou HH. Comparison of escitalopram and paroxetine in the treatment of major depressive disorder. International Clinical Psychopharmacology. 28: 339-45. PMID 23881184 DOI: 10.1097/YIC.0b013e32836458e2  0.033
2012 Hsiao MC, Liu CY, Wang CJ. Factors associated with low bone density among women with major depressive disorder. International Journal of Psychiatry in Medicine. 44: 77-90. PMID 23356095  0.033
2015 Lin CS, Lin YC, Adebayo BO, Wu A, Chen JH, Peng YJ, Cheng MF, Lee WH, Hsiao M, Chao TY, Yeh CT. Silencing JARID1B suppresses oncogenicity, stemness and increases radiation sensitivity in human oral carcinoma. Cancer Letters. PMID 26184998 DOI: 10.1016/j.canlet.2015.07.003  0.033
2014 Hsiao MH, Chen LC. Smart phone demand: An empirical study on the relationships between phone handset, Internet access and mobile services Telematics and Informatics. 32: 158-168. DOI: 10.1016/j.tele.2014.06.001  0.033
2015 Wu CH, Chang KV, Özçakar L, Hsiao MY, Hung CY, Shyu SG, Wang TG, Chen WS. Sonographic Tracking of the Upper Limb Peripheral Nerves: A Pictorial Essay and Video Demonstration. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. PMID 26135374 DOI: 10.1097/Phm.0000000000000344  0.033
2015 Tsao CT, Hsiao MH, Zhang MY, Levengood SL, Zhang M. Chitosan-PEG hydrogel with sol-Gel transition triggerable by multiple external stimuli Macromolecular Rapid Communications. 36: 332-338. DOI: 10.1002/marc.201400586  0.033
2015 Waterman BR, Cameron KL, Hsiao M, Langston JR, Clark NJ, Owens BD. Trends in the diagnosis of SLAP lesions in the US military. Knee Surgery, Sports Traumatology, Arthroscopy : Official Journal of the Esska. 23: 1453-9. PMID 24318507 DOI: 10.1007/s00167-013-2798-z  0.033
2007 Hsiao MC, Liu CY. Unusual manifestations of premenstrual syndrome. Psychiatry and Clinical Neurosciences. 61: 120-3. PMID 17239049 DOI: 10.1111/j.1440-1819.2007.01620.x  0.033
2015 Yang SF, Lee WJ, Tan P, Tang CH, Hsiao M, Hsieh FK, Chien MH. Upregulation of miR-328 and inhibition of CREB-DNA-binding activity are critical for resveratrol-mediated suppression of matrix metalloproteinase-2 and subsequent metastatic ability in human osteosarcomas. Oncotarget. 6: 2736-53. PMID 25605016 DOI: 10.18632/ONCOTARGET.3088  0.032
2005 Lin YH, Liu CY, Hsiao MC. Management of atypical antipsychotic-induced weight gain in schizophrenic patients with topiramate. Psychiatry and Clinical Neurosciences. 59: 613-5. PMID 16194268 DOI: 10.1111/j.1440-1819.2005.01424.x  0.032
2016 Hung CY, Hsiao MY, Özçakar L, Chang KV, Wu CH, Wang TG, Chen WS. Sonographic Tracking of the Lower Limb Peripheral Nerves: A Pictorial Essay and Video Demonstration. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. PMID 26945217 DOI: 10.1097/PHM.0000000000000463  0.029
2012 Schatz DB, Hsiao MC, Liu CY. Antenatal depression in East Asia: a review of the literature. Psychiatry Investigation. 9: 111-8. PMID 22707960 DOI: 10.4306/pi.2012.9.2.111  0.028
2011 Lin KM, Chiu YF, Tsai IJ, Chen CH, Shen WW, Liu SC, Lu SC, Liu CY, Hsiao MC, Tang HS, Liu SI, Chang LH, Wu CS, Tsou HH, Tsai MH, et al. ABCB1 gene polymorphisms are associated with the severity of major depressive disorder and its response to escitalopram treatment. Pharmacogenetics and Genomics. 21: 163-70. PMID 20859246 DOI: 10.1097/FPC.0b013e32833db216  0.027
2015 Hsiao CY, Yang HY, Hsiao MC, Hung PH, Wang MC. Risk Factors for Development of Acute Kidney Injury in Patients with Urinary Tract Infection. Plos One. 10: e0133835. PMID 26213991 DOI: 10.1371/journal.pone.0133835  0.027
2009 Huang YS, Hsiao MC, Lee M, Huang YC, Lee JD. Baclofen successfully abolished prolonged central hyperthermia in a patient with basilar artery occlusion. Acta Neurologica Taiwanica. 18: 118-22. PMID 19673364  0.027
2008 Wu KY, Liu CY, Hsiao MC. Six-month paroxetine treatment of premenstrual dysphoric disorder: continuous versus intermittent treatment protocols. Psychiatry and Clinical Neurosciences. 62: 109-14. PMID 18289149 DOI: 10.1111/j.1440-1819.2007.01785.x  0.027
2015 Hsiao MH. Structural equation modeling for national travel demand: an examination of the relationships between communication modes Transportation Planning and Technology. 38: 889-908. DOI: 10.1080/03081060.2015.1079389  0.026
2015 Hsiao MY, Chen YC, Lin CY, Chen WS, Wang TG. Reduced Patellar Tendon Elasticity with Aging: In Vivo Assessment by Shear Wave Elastography. Ultrasound in Medicine & Biology. PMID 26304500 DOI: 10.1016/j.ultrasmedbio.2015.07.008  0.026
2010 Lin KM, Tsou HH, Tsai IJ, Hsiao MC, Hsiao CF, Liu CY, Shen WW, Tang HS, Fang CK, Wu CS, Lu SC, Kuo HW, Liu SC, Chan HW, Hsu YT, et al. CYP1A2 genetic polymorphisms are associated with treatment response to the antidepressant paroxetine. Pharmacogenomics. 11: 1535-43. PMID 21121774 DOI: 10.2217/pgs.10.128  0.026
2015 Hsiao MH, Mai TQ, Loc NTM, Lee YT. User satisfaction of the website for national center for health communication and education in Vietnam: An application of is success model Acm International Conference Proceeding Series. 3. DOI: 10.1145/2781562.2781568  0.026
2004 Hsiao MC, Hsiao CC, Liu CY. Premenstrual symptoms and premenstrual exacerbation in patients with psychiatric disorders. Psychiatry and Clinical Neurosciences. 58: 186-90. PMID 15009825 DOI: 10.1111/j.1440-1819.2003.01215.x  0.026
2015 Hsiao MY, Hung CY, Chang KV, Han DS, Wang TG. Is serum hypovitaminosis D associated with chronic widespread pain including fibromyalgia? A meta-analysis of observational studies Pain Physician. 18: E877-E887.  0.026
2015 Li JE, Fu JS, Hsiao MS, Tien CH. Experimental method of optical coherence characterization in phase-space measurement Proceedings of Spie - the International Society For Optical Engineering. 9630. DOI: 10.1117/12.2190413  0.025
2008 Yao YC, Chiang PH, Hsiao MC, Liu CY. Effective treatment of premenstrual violence in major depression: augmentation with aripiprazole. Chang Gung Medical Journal. 31: 402-6. PMID 18935799  0.025
2016 Bamodu OA, Huang WC, Lee WH, Wu A, Wang LS, Hsiao M, Yeh CT, Chao TY. Aberrant KDM5B expression promotes aggressive breast cancer through MALAT1 overexpression and downregulation of hsa-miR-448. Bmc Cancer. 16: 160. PMID 26917489 DOI: 10.1186/s12885-016-2108-5  0.025
2014 Li KC, Hua KT, Lin YS, Su CY, Ko JY, Hsiao M, Kuo ML, Tan CT. Inhibition of G9a induces DUSP4-dependent autophagic cell death in head and neck squamous cell carcinoma. Molecular Cancer. 13: 172. PMID 25027955 DOI: 10.1186/1476-4598-13-172  0.025
2014 Hsiao M, Sathya C, de Mestral C, Langer JC, Gomez D, Nathens AB. Population-based analysis of blunt splenic injury management in children: operative rate is an informative quality of care indicator. Injury. 45: 859-63. PMID 24405973 DOI: 10.1016/J.Injury.2013.12.006  0.025
2015 Chen HA, Chang YW, Tseng CF, Chiu CF, Hong CC, Wang W, Wang MY, Hsiao M, Ma JT, Chen CH, Jiang SS, Wu CH, Hung MC, Huang MT, Su JL. E1A-mediated inhibition of HSPA5 suppresses cell migration and invasion in triple-negative breast cancer. Annals of Surgical Oncology. 22: 889-98. PMID 25212833 DOI: 10.1245/s10434-014-4061-3  0.024
2014 Shiah SG, Hsiao JR, Chang WM, Chen YW, Jin YT, Wong TY, Huang JS, Tsai ST, Hsu YM, Chou ST, Yen YC, Jiang SS, Shieh YS, Chang IS, Hsiao M, et al. Downregulated miR329 and miR410 promote the proliferation and invasion of oral squamous cell carcinoma by targeting Wnt-7b. Cancer Research. 74: 7560-72. PMID 25351956 DOI: 10.1158/0008-5472.CAN-14-0978  0.023
2008 Huang YC, Kuo YW, Lee TH, Lee M, Hsiao MC, Wang CL, Lee JD. Hypoalbuminemia and not hyperhomocysteinemia as a risk factor for dementia in hemodialysis patients. Journal of Renal Nutrition : the Official Journal of the Council On Renal Nutrition of the National Kidney Foundation. 18: 347-54. PMID 18558299 DOI: 10.1053/j.jrn.2007.12.003  0.023
2016 Chuang HJ, Hsiao MY, Wu CH, Özçakar L. Dynamic Ultrasound Imaging for Ulnar Nerve Subluxation and Snapping Triceps Syndrome. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. PMID 26945221 DOI: 10.1097/PHM.0000000000000466  0.023
2009 Hsiao MC, Chiang PH, Liu CY, Lin YH. Help-seeking behavior in Taiwanese woman with menopause-related mood symptoms. Chang Gung Medical Journal. 32: 313-9. PMID 19527611  0.023
2014 Hsu TI, Hsu CH, Lee KH, Lin JT, Chen CS, Chang KC, Su CY, Hsiao M, Lu PJ. MicroRNA-18a is elevated in prostate cancer and promotes tumorigenesis through suppressing STK4 in vitro and in vivo. Oncogenesis. 3: e99. PMID 24752237 DOI: 10.1038/oncsis.2014.12  0.022
2015 Hsiao CY, Yang HY, Chang CH, Lin HL, Wu CY, Hsiao MC, Hung PH, Liu SH, Weng CH, Lee CC, Yen TH, Chen YC, Wu TC. Risk Factors for Development of Septic Shock in Patients with Urinary Tract Infection. Biomed Research International. 2015: 717094. PMID 26380292 DOI: 10.1155/2015/717094  0.022
2014 Yang YF, Jan YH, Liu YP, Yang CJ, Su CY, Chang YC, Lai TC, Chiou J, Tsai HY, Lu J, Shen CN, Shew JY, Lu PJ, Lin YF, Huang MS, ... Hsiao M, et al. Squalene synthase induces tumor necrosis factor receptor 1 enrichment in lipid rafts to promote lung cancer metastasis. American Journal of Respiratory and Critical Care Medicine. 190: 675-87. PMID 25152164 DOI: 10.1164/rccm.201404-0714OC  0.021
2014 Tsai CY, Huang BH, Hsiao MW, Lin CC, Ko BT. Structurally diverse copper complexes bearing NNO-tridentate Schiff-base derivatives as efficient catalysts for copolymerization of carbon dioxide and cyclohexene oxide. Inorganic Chemistry. 53: 5109-16. PMID 24802071 DOI: 10.1021/ic5002122  0.021
2003 Lin CC, Li YC, Bai YM, Tsai SJ, Hsiao MC, Wu CH, Liu CY, Chen JY. The validity of an Internet-based Self-assessment Program for Depression. Amia ... Annual Symposium Proceedings / Amia Symposium. Amia Symposium. 911. PMID 14728417  0.021
2007 Hsu SC, Liu CY, Hsiao MC. A comparison of the Tridimensional Personality Questionnaire in premenstrual dysphoric disorder and major depressive disorder. Comprehensive Psychiatry. 48: 366-70. PMID 17560958 DOI: 10.1016/j.comppsych.2007.02.006  0.021
2015 Chou HS, Larsson M, Hsiao MH, Chen YC, Röding M, Nydén M, Liu DM. Injectable insulin-lysozyme-loaded nanogels with enzymatically-controlled degradation and release for basal insulin treatment: In vitro characterization and in vivo observation. Journal of Controlled Release : Official Journal of the Controlled Release Society. PMID 26723525 DOI: 10.1016/j.jconrel.2015.12.036  0.019
2003 Liu CY, Chiu NY, Wu CK, Yuan LM, Hsiao MC, Liao O. Optimal dose of risperidone and olanzapine for patients with schizophrenia in Taiwan. International Clinical Psychopharmacology. 18: 49-51. PMID 12490775 DOI: 10.1097/01.yic.0000048751.19914.73  0.019
2013 Lin HL, Hsiao MC, Liu YT, Chang CM. Perimenopause and incidence of depression in midlife women: a population-based study in Taiwan. Climacteric : the Journal of the International Menopause Society. 16: 381-6. PMID 22963154 DOI: 10.3109/13697137.2012.707706  0.019
2015 Su CY, Lin TC, Lin YF, Chen MH, Lee CH, Wang HY, Lee YC, Liu YP, Chen CL, Hsiao M. DDX3 as a strongest prognosis marker and its downregulation promotes metastasis in colorectal cancer Oncotarget. 6: 18602-18612.  0.019
2015 Hsiao MH, Mu Q, Stephen ZR, Fang C, Zhang M. Hexanoyl-Chitosan-PEG Copolymer Coated Iron Oxide Nanoparticles for Hydrophobic Drug Delivery. Acs Macro Letters. 4: 403-407. PMID 26900510 DOI: 10.1021/Acsmacrolett.5B00091  0.019
2002 Hsiao MC, Liu CY, Chen KC, Hsieh TT. Characteristics of women using a mental health clinic in a gynecologic out-patient setting. Psychiatry and Clinical Neurosciences. 56: 459-63. PMID 12109965 DOI: 10.1046/j.1440-1819.2002.01036.x  0.019
2010 Tsai MH, Lin KM, Hsiao MC, Shen WW, Lu ML, Tang HS, Fang CK, Wu CS, Lu SC, Liu SC, Chen CY, Liu YL. Genetic polymorphisms of cytochrome P450 enzymes influence metabolism of the antidepressant escitalopram and treatment response. Pharmacogenomics. 11: 537-46. PMID 20350136 DOI: 10.2217/pgs.09.168  0.018
2015 Working ZM, Hsiao M, Sanders JC, Bratton SL, D'Astous JL. Spontaneous Fatal Intraoperative Rupture of Great Vessel During Growing Rod Lengthening: Do Children With Ehlers-Danlos Syndrome Require the Availability of Vascular Expertise? A Case Report and Review of the Literature. Journal of Pediatric Orthopedics. PMID 26422393 DOI: 10.1097/BPO.0000000000000656  0.018
2013 Hsiao MC, Liu YH, Chen WL, Jiang SH. Neutron response of GafChromic® EBT2 film. Physics in Medicine and Biology. 58: 1391-413. PMID 23399653 DOI: 10.1088/0031-9155/58/5/1391  0.017
2015 Chen CW, Wu DY, Chan YC, Lin CC, Chung PH, Hsiao M, Liu RS. Evaluations of the chemical stability and cytotoxicity of CuInS2 and CuInS2/ZnS core/shell quantum dots Journal of Physical Chemistry C. 119: 2852-2860. DOI: 10.1021/jp510908f  0.017
2015 Hsiao MS, Cameron KL, Tucker CJ, Benigni M, Blaine TA, Owens BD. Shoulder impingement in the United States military. Journal of Shoulder and Elbow Surgery / American Shoulder and Elbow Surgeons ... [Et Al.]. PMID 25865088 DOI: 10.1016/j.jse.2015.02.021  0.017
2015 Chang YW, Chiu CF, Lee KY, Hong CC, Wang YY, Cheng CC, Jan YH, Huang MS, Hsiao M, Ma JT, Su JL. CARMA3 Represses Metastasis Suppressor NME2 to Promote Lung Cancer Stemness and Metastasis. American Journal of Respiratory and Critical Care Medicine. 192: 64-75. PMID 25906011 DOI: 10.1164/rccm.201411-1957OC  0.016
2014 Hong CC, Chen PS, Chiou J, Chiu CF, Yang CY, Hsiao M, Chang YW, Yu YH, Hung MC, Hsu NW, Shiah SG, Hsu NY, Su JL. miR326 maturation is crucial for VEGF-C-driven cortactin expression and esophageal cancer progression. Cancer Research. 74: 6280-90. PMID 25205106 DOI: 10.1158/0008-5472.CAN-14-0524  0.016
2011 Hsiao MC, Chen WL, Tsai PE, Huang CK, Liu YH, Liu HM, Jiang SH. A preliminary study on using the radiochromic film for 2D beam profile QC/QA at the THOR BNCT facility. Applied Radiation and Isotopes : Including Data, Instrumentation and Methods For Use in Agriculture, Industry and Medicine. 69: 1915-7. PMID 21570854 DOI: 10.1016/j.apradiso.2011.04.007  0.015
2015 Sun GC, Ho WY, Chen BR, Cheng PW, Cheng WH, Hsu MC, Yeh TC, Hsiao M, Lu PJ, Tseng CJ. GPCR dimerization in brainstem nuclei contributes to the development of hypertension. British Journal of Pharmacology. 172: 2507-18. PMID 25573074 DOI: 10.1111/bph.13074  0.014
2007 Hsiao MC. Effective treatment of fibromyalgia comorbid with premenstrual dysphoric disorder with a low dose of venlafaxine. Primary Care Companion to the Journal of Clinical Psychiatry. 9: 398. PMID 17998967  0.014
2004 Hsiao MC, Liu CY. Withdrawal reactions associated with low-dose venlafaxine treatment in a patient with premenstrual dysphoric disorder. The Journal of Clinical Psychiatry. 65: 1147-8. PMID 15323606 DOI: 10.4088/JCP.v65n0818e  0.014
2015 Lin CS, Cheng CT, Liu CY, Lee MY, Hsiao MC, Shih CH, Liu CC. Radical Lymph Node Dissection in Primary Esophagectomy for Esophageal Squamous Cell Carcinoma. The Annals of Thoracic Surgery. PMID 26002444 DOI: 10.1016/j.athoracsur.2015.02.053  0.013
2007 Lee JD, Ryu SJ, Chang YJ, Hsu KC, Chen YC, Huang YC, Lee M, Hsiao MC, Lee TH. Carotid ultrasound criteria for detecting intracranial carotid stenosis. European Neurology. 57: 156-60. PMID 17213722 DOI: 10.1159/000098467  0.013
2008 Lai SL, Weng HH, Lee M, Hsiao MC, Lin LJ, Huang WY. Risk factors and subtype analysis of acute ischemic stroke. European Neurology. 60: 230-6. PMID 18756087 DOI: 10.1159/000151698  0.012
2012 Schatz DB, Hsiao MC, Liu CY. Premenstrual dysphoric disorder in East Asia: a review of the literature. International Journal of Psychiatry in Medicine. 43: 365-80. PMID 23094468  0.012
2004 Hsiao CC, Liu CY, Hsiao MC. No correlation of depression and anxiety to plasma estrogen and progesterone levels in patients with premenstrual dysphoric disorder. Psychiatry and Clinical Neurosciences. 58: 593-9. PMID 15601382 DOI: 10.1111/j.1440-1819.2004.01308.x  0.012
2009 Pai TW, Chen CM, Hsiao MC, Cheng R, Tzou WS, Hu CH. An online conserved SSR discovery through cross-species comparison. Advances and Applications in Bioinformatics and Chemistry : Aabc. 2: 23-35. PMID 21918613  0.012
2002 Hsiao MC, Liu CY, Chen KC, Hsieh TT. Characteristics of women seeking treatment for premenstrual syndrome in Taiwan. Acta Psychiatrica Scandinavica. 106: 150-5. PMID 12121214  0.012
2014 Hung CI, Liu CY, Hsiao MC, Yu NW, Chu CL. Metabolic syndrome among psychiatric outpatients with mood and anxiety disorders. Bmc Psychiatry. 14: 185. PMID 24952586 DOI: 10.1186/1471-244X-14-185  0.011
2006 Hsiao MC, Lee JD, Lee M, Weng HH. Massive pontine hemorrhagic transformation associated with an anticoagulant for basilar artery occlusion. Neurology India. 54: 431-3. PMID 17114860  0.011
2002 Hsiao MC, Liu CY. Antidepressant-related hypomania in a patient with premenstrual dysphoric disorder. Journal of Clinical Psychopharmacology. 22: 534-5. PMID 12352284 DOI: 10.1097/00004714-200210000-00021  0.011
2008 Hsiao MC, Liu CY. Successful duloxetine use to prevent venlafaxine withdrawal symptoms. Progress in Neuro-Psychopharmacology & Biological Psychiatry. 32: 576. PMID 17889418 DOI: 10.1016/j.pnpbp.2007.08.036  0.01
2016 Lai LA, Hsiao MY, Wu CH, Özçakar L. Ultrasonographic Diagnosis and Management of Dorsal Bursitis of the Hand. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. PMID 27175561 DOI: 10.1097/PHM.0000000000000546  0.01
2016 Hsiao MY, Chang KV, Özçakar L. Ultrasonography of the Anterior Cruciate Ligament: Not an Easy Structure in Knee Joint Imaging. American Journal of Physical Medicine & Rehabilitation / Association of Academic Physiatrists. PMID 27149583 DOI: 10.1097/PHM.0000000000000505  0.01
2016 Hsiao MY, Wang HI, Özçakar L. Ultrasonographic diagnosis of calcifying tenosynovitis of the finger Pain Physician. 19: E241-E243.  0.01
2015 Hsiao SM, Chen MW, Chen CA, Chien MH, Hua KT, Hsiao M, Kuo ML, Wei LH. The H3K9 Methyltransferase G9a Represses E-cadherin and is Associated with Myometrial Invasion in Endometrial Cancer. Annals of Surgical Oncology. PMID 25613390 DOI: 10.1245/s10434-015-4379-5  0.01
2015 Lu SC, Hsiao MC, Yorulmaz M, Wang LY, Yang PY, Link S, Chang WS, Tuan HY. Single-Crystalline Copper Nano-Octahedra Chemistry of Materials. 27: 8187-8188. DOI: 10.1021/Acs.Chemmater.5B03519  0.01
2014 Hua KT, Wang MY, Chen MW, Wei LH, Chen CK, Ko CH, Jeng YM, Sung PL, Jan YH, Hsiao M, Kuo ML, Yen ML. The H3K9 methyltransferase G9a is a marker of aggressive ovarian cancer that promotes peritoneal metastasis. Molecular Cancer. 13: 189. PMID 25115793 DOI: 10.1186/1476-4598-13-189  0.01
2014 Hsiao MC, Liu YH, Jiang SH. Computational study of room scattering influence in the THOR BNCT treatment room. Applied Radiation and Isotopes : Including Data, Instrumentation and Methods For Use in Agriculture, Industry and Medicine. 88: 162-6. PMID 24365466 DOI: 10.1016/j.apradiso.2013.11.039  0.01
2013 Kuo HW, Liu SC, Tsou HH, Liu SW, Lin KM, Lu SC, Hsiao MC, Hsiao CF, Liu CY, Chen CH, Lu ML, Shen WW, Tang HS, Liu SI, Chang LH, et al. CYP1A2 genetic polymorphisms are associated with early antidepressant escitalopram metabolism and adverse reactions. Pharmacogenomics. 14: 1191-201. PMID 23859573 DOI: 10.2217/pgs.13.105  0.01
2011 Hsiao MC, Liu CY, Hsu SC, Hsiao CC, Lin YH, Hsieh TT. Elevated serum cholesterol levels in women with premenstrual dysphoric disorder. International Journal of Psychiatry in Medicine. 42: 85-92. PMID 22372026  0.01
2010 Chang YC, Jou HJ, Hsiao MC, Tsao LI. Sleep quality, fatigue, and related factors among perimenopausal women in Taipei City. The Journal of Nursing Research : Jnr. 18: 275-82. PMID 21139447 DOI: 10.1097/JNR.0b013e3181fc6471  0.01
2010 Hsieh MK, Chang CN, Hsiao MC, Chen WJ, Chen LH. Conversion paralysis after surgery for lumbar disc herniation. Spine. 35: E308-10. PMID 20395776 DOI: 10.1097/BRS.0b013e3181c41bc3  0.01
2009 Huang YC, Weng HH, Tsai YT, Huang YC, Hsiao MC, Wu CY, Lin YH, Hsu HL, Lee JD. Periictal magnetic resonance imaging in status epilepticus. Epilepsy Research. 86: 72-81. PMID 19541453 DOI: 10.1016/j.eplepsyres.2009.05.011  0.01
2006 Hsiao MC, Wang HP, Chang JE, Peng CY. Tracking of copper species in incineration fly ashes. Journal of Hazardous Materials. 138: 539-42. PMID 16839673 DOI: 10.1016/j.jhazmat.2006.05.087  0.01
2005 Lin YH, Liu CY, Hsiao MC. Combined antidepressant and hormone treatment is effective for chemotherapy-induced menopausal syndrome. European Psychiatry : the Journal of the Association of European Psychiatrists. 20: 76-7. PMID 15642450 DOI: 10.1016/j.eurpsy.2004.09.014  0.01
2003 Ku CC, Wang HP, Lee PH, Hsiao MC, Huang HL, Wang HC. Speciation of chromium in an electroplating sludge during thermal stabilization. Bulletin of Environmental Contamination and Toxicology. 71: 860-5. PMID 14672143 DOI: 10.1007/s00128-003-0215-x  0.01
2003 Hsiao MC, Wang HP. Speciation of copper in a contaminated soil. Bulletin of Environmental Contamination and Toxicology. 71: 804-9. PMID 14672135 DOI: 10.1007/s00128-003-0205-z  0.01
2002 Hsiao MC, Wang HP, Wei YL, Chang JE, Jou CJ. Speciation of copper in the incineration fly ash of a municipal solid waste. Journal of Hazardous Materials. 91: 301-7. PMID 11900920  0.01
2001 Hsiao MC, Wang HP, Huang YJ, Yang YW. EXAFS study of copper in waste incineration fly ashes. Journal of Synchrotron Radiation. 8: 931-3. PMID 11512983  0.01
2001 Hsiao MC, Wang HP, Yang YW. EXAFS and XANES studies of copper in a solidified fly ash. Environmental Science & Technology. 35: 2532-5. PMID 11432559  0.01
2000 Chow LP, Chiou SH, Hsiao MC, Yu CJ, Chiang BL. Characterization of Pen n 13, a major allergen from the mold Penicillium notatum. Biochemical and Biophysical Research Communications. 269: 14-20. PMID 10694469 DOI: 10.1006/bbrc.2000.2253  0.01
Hide low-probability matches.