Margaret Martonosi - Publications

Affiliations: 
Computer Science Princeton University, Princeton, NJ 
Area:
Computer Architecture, Power-Aware Computing, and Mobile Computing.

52 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Ham TJ, Aragón JL, Martonosi M. Efficient Data Supply for Parallel Heterogeneous Architectures Acm Transactions On Architecture and Code Optimization. 16: 1-23. DOI: 10.1145/3310332  0.34
2019 Holmes A, Ding Y, Javadi-Abhari A, Franklin D, Martonosi M, Chong FT. Resource optimized quantum architectures for surface code implementations of magic-state distillation Microprocessors and Microsystems. 67: 56-70. DOI: 10.1016/J.Micpro.2019.02.007  0.33
2017 Ham TJ, Aragón JL, Martonosi M. Decoupling Data Supply from Computation for Latency-Tolerant Communication in Heterogeneous Architectures Acm Transactions On Architecture and Code Optimization. 14: 1-27. DOI: 10.1145/3075620  0.327
2017 Lustig D, Sethi G, Bhattacharjee A, Martonosi M. Transistency Models: Memory Ordering at the Hardware-OS Interface Ieee Micro. 37: 88-97. DOI: 10.1109/Mm.2017.69  0.529
2015 Jia W, Garza E, Shaw KA, Martonosi M. GPU performance and power tuning using regression trees Acm Transactions On Architecture and Code Optimization. 12. DOI: 10.1145/2736287  0.486
2013 Lustig D, Bhattacharjee A, Martonosi M. TLB Improvements for chip multiprocessors: Inter-core cooperative prefetchers and shared last-level tlbs Transactions On Architecture and Code Optimization. 10. DOI: 10.1145/2445572.2445574  0.571
2013 Becker R, Ramón C, Hanson K, Isaacman S, Loh JM, Martonosi M, Rowland J, Urbanek S, Varshavsky A, Volinsky C. Human mobility characterization from cellular network data Communications of the Acm. 56: 74-82. DOI: 10.1145/2398356.2398375  0.699
2013 Mir DJ, Isaacman S, Caceres R, Martonosi M, Wright RN. DP-WHERE: Differentially private modeling of human mobility Proceedings - 2013 Ieee International Conference On Big Data, Big Data 2013. 580-588. DOI: 10.1109/BigData.2013.6691626  0.659
2012 Isaacman S, Becker R, Cáceres R, Martonosi M, Rowland J, Varshavsky A, Willinger W. Human mobility modeling at metropolitan scales Mobisys'12 - Proceedings of the 10th International Conference On Mobile Systems, Applications, and Services. 239-251. DOI: 10.1145/2307636.2307659  0.663
2012 Koukoumidis E, Martonosi M, Peh LS. Leveraging smartphone cameras for collaborative road advisories Ieee Transactions On Mobile Computing. 11: 707-723. DOI: 10.1109/Tmc.2011.275  0.761
2011 Isaacman S, Ioannidis S, Chaintreau A, Martonosi M. Distributed rating prediction in user generated content streams Recsys'11 - Proceedings of the 5th Acm Conference On Recommender Systems. 69-76. DOI: 10.1145/2043932.2043948  0.664
2011 Koukoumidis E, Peh LS, Martonosi MR. SignalGuru: Leveraging mobile phones for collaborative traffic signal schedule advisory Mobisys'11 - Compilation Proceedings of the 9th International Conference On Mobile Systems, Applications and Services and Co-Located Workshops. 127-140. DOI: 10.1145/1999995.2000008  0.756
2011 Isaacman S, Martonosi M. Low-infrastructure methods to improve internet access for mobile users in emerging regions Proceedings of the 20th International Conference Companion On World Wide Web, Www 2011. 473-482. DOI: 10.1145/1963192.1963361  0.681
2011 Bhattacharjee A, Contreras G, Martonosi M. Parallelization libraries: Characterizing and reducing overheads Transactions On Architecture and Code Optimization. 8. DOI: 10.1145/1952998.1953003  0.572
2011 Wu CJ, Martonosi M. Adaptive timekeeping replacement: Fine-grained capacity management for shared CMP caches Transactions On Architecture and Code Optimization. 8. DOI: 10.1145/1952998.1953001  0.476
2011 Isaacman S, Becker R, Caceres R, Kobourov S, Martonosi M, Rowland J, Varshavsky A. Ranges of human mobility in Los Angeles and New York 2011 Ieee International Conference On Pervasive Computing and Communications Workshops, Percom Workshops 2011. 88-93. DOI: 10.1109/PERCOMW.2011.5766977  0.658
2011 Koukoumidis E, Peh LS, Martonosi M. RegReS: Adaptively maintaining a target density of regional services in opportunistic vehicular networks 2011 Ieee International Conference On Pervasive Computing and Communications, Percom 2011. 120-127. DOI: 10.1109/PERCOM.2011.5767576  0.755
2011 Isaacman S, Ioannidis S, Chaintreau A, Martonosi M. Distributed collaborative filtering over social networks 2011 49th Annual Allerton Conference On Communication, Control, and Computing, Allerton 2011. 1136-1142. DOI: 10.1109/Allerton.2011.6120295  0.648
2011 Isaacman S, Becker R, Cáceres R, Kobourov S, Martonosi M, Rowland J, Varshavsky A. Identifying important places in people's lives from cellular network data Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 6696: 133-151. DOI: 10.1007/978-3-642-21726-5_9  0.666
2010 Hari P, McCabe JBP, Banafato J, Henry M, Ko K, Koukoumidis E, Kremer U, Martonosi M, Peh LS. Adaptive spatiotemporal node selection in dynamic networks Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 227-236. DOI: 10.1145/1854273.1854304  0.769
2010 Zhang P, Martonosi M. CA-TSL: Energy adaptation for targeted system lifetime in sparse mobile Ad Hoc networks Ieee Transactions On Mobile Computing. 9: 1794-1808. DOI: 10.1109/Tmc.2010.138  0.481
2009 Lenders V, Martonosi M. Repeatable and realistic experimentation in mobile wireless networks Ieee Transactions On Mobile Computing. 8: 1718-1728. DOI: 10.1109/Tmc.2009.87  0.368
2008 Hari P, Ko K, Koukoumidis E, Kremer U, Martonosi M, Ottoni D, Peh LS, Zhang P. SARANA: language, compiler and run-time system support for spatially aware and resource-aware mobile computing. Philosophical Transactions. Series a, Mathematical, Physical, and Engineering Sciences. 366: 3699-708. PMID 18672455 DOI: 10.1098/Rsta.2008.0127  0.769
2008 Lenders V, Koukoumidis E, Zhang P, Martonosi M. Location-based trust for mobile user-generated content: Applications, challenges and implementations Hotmobile 2008: 9th Workshop On Mobile Computing Systems and Applications. 60-64. DOI: 10.1145/1411759.1411775  0.769
2008 Isaacman S, Martonosi M. Potential for collaborative caching and prefetching in largely-disconnected villages Proceedings of the Annual International Conference On Mobile Computing and Networking, Mobicom. 23-29. DOI: 10.1145/1410064.1410070  0.669
2008 Chi E, Lyon SA, Martonosi M. Deterministic error model for quantum computer simulation Physical Review a - Atomic, Molecular, and Optical Physics. 77. DOI: 10.1103/Physreva.77.052315  0.633
2007 Wang Y, Zhang P, Liu T, Sadler C, Martonosi M. CRAWDAD dataset princeton/zebranet (v.2007-02-14) Crawdad Wireless Network Data Archive. DOI: 10.15783/C77C78  0.33
2007 Martonosi M. Session details: Virtual caches and hierarchies Acm Sigarch Computer Architecture News. 35. DOI: 10.1145/3262375  0.313
2007 Contreras G, Martonosi M, Peng J, Lueh G, Ju R. The XTREM power and performance simulator for the Intel XScale core Acm Transactions On Embedded Computing Systems. 6: 4. DOI: 10.1145/1210268.1210272  0.327
2006 Wu Q, Martonosi M, Clark DW, Reddi VJ, Connors D, Wu Y, Lee J, Brooks D. Dynamic-compiler-driven control for microprocessor energy and performance Ieee Micro. 26: 119-129. DOI: 10.1109/Mm.2006.9  0.616
2006 Donald J, Martonosi M. An efficient, practical parallelization methodology for multicore architecture simulation Ieee Computer Architecture Letters. 5. DOI: 10.1109/L-Ca.2006.14  0.322
2005 Chen J, Juang P, Ko K, Contreras G, Penry D, Rangan R, Stoler A, Peh L, Martonosi M. Hardware-modulated parallelism in chip multiprocessors Acm Sigarch Computer Architecture News. 33: 54-63. DOI: 10.1145/1105734.1105742  0.695
2005 Isci C, Buyuktosunoglu A, Martonosi M. Long-term workload phases: Duration predictions and applications to DVFS Ieee Micro. 25: 39-51. DOI: 10.1109/Mm.2005.93  0.326
2005 Wu Q, Juang P, Martonosi M, Peh LS, Clark DW. Formal control techniques for power-performance management Ieee Micro. 25: 52-62. DOI: 10.1109/Mm.2005.87  0.664
2005 Wu Q, Juang P, Martonosi M, Clark DW. Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors Proceedings - International Symposium On High-Performance Computer Architecture. 178-189. DOI: 10.1109/HPCA.2005.43  0.642
2005 Juang P, Wu Q, Peh LS, Martonosi M, Clark DW. Coordinated, distributed, formal energy management of chip multiprocessors Proceedings of the International Symposium On Low Power Electronics and Design. 127-130.  0.65
2004 Wang Y, Martonosi M, Peh L. MARio Acm Sigmobile Mobile Computing and Communications Review. 8: 77-81. DOI: 10.1145/1052871.1052881  0.351
2004 Wu Q, Juang P, Martonosi M, Clark DW. Formal online methods for voltage/frequency control in multiple clock domain microprocessors Operating Systems Review (Acm). 38: 248-259. DOI: 10.1145/1037949.1024423  0.663
2004 Xie F, Martonosi M, Malik S. Intraprogram dynamic voltage scaling Acm Transactions On Architecture and Code Optimization. 1: 323-367. DOI: 10.1145/1022969.1022973  0.352
2004 Juang P, Skadron K, Martonosi M, Hu Z, Clark DW, Diodato PW, Kaxiras S. Implementing branch-predictor decay using quasi-static memory cells Acm Transactions On Architecture and Code Optimization. 1: 180-219. DOI: 10.1145/1011528.1011531  0.714
2002 Hu Z, Kaxiras S, Martonosi M. Let Caches Decay: Reducing Leakage Energy via Exploitation of Cache Generational Behavior Acm Transactions On Computer Systems. 20: 161-190. DOI: 10.1145/507052.507055  0.542
2002 Juang P, Diodato P, Kaxiras S, Skadron K, Hu Z, Martonosi M, Clark DW. Implementing Decay Techniques using 4T Quasi-Static Memory Cells Ieee Computer Architecture Letters. 1: 10-10. DOI: 10.1109/L-Ca.2002.5  0.704
2002 Juang P, Oki H, Wang Y, Martonosi M, Peh LS, Rubenstein D. Energy-efficient computing for wildlife tracking: Design tradeoffs and early experiences with ZebraNet International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 96-107.  0.677
2002 Hu Z, Juang P, Skadron K, Clark D, Martonosi M. Applying decay strategies to branch predictors for leakage energy savings Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 442-445.  0.644
2002 Hu Z, Juang P, Diodato P, Kaxiras S, Skadron K, Martonosi M, Clark DW. Managing leakage for transient data: Decay and quasi-static 4T memory cells Proceedings of the International Symposium On Low Power Electronics and Design, Digest of Technical Papers. 52-55.  0.642
2000 Brooks D, Martonosi M. Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance Acm Transactions On Computer Systems. 18: 89-126. DOI: 10.1145/350853.350856  0.596
2000 Luo Z, Martonosi M. Accelerating pipelined integer and floating-point accumulations in configurable hardware with delayed addition techniques Ieee Transactions On Computers. 49: 208-218. DOI: 10.1109/12.841125  0.309
1999 Zhong P, Martonosi M, Ashar P, Malik S. Using configurable computing to accelerate Boolean satisfiability Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 861-868. DOI: 10.1109/43.766733  0.333
1999 Skadron K, Ahuja PS, Martonosi M, Clark DW. Branch prediction, instruction-window size, and cache size: Performance trade-offs and simulation techniques Ieee Transactions On Computers. 48: 1260-1281. DOI: 10.1109/12.811115  0.315
1998 Horowitz M, Martonosi M, Mowry TC, Smith MD. Informing memory operations: memory performance feedback mechanisms and their applications Acm Transactions On Computer Systems. 16: 170-205. DOI: 10.1145/279227.279230  0.302
1996 Torrie E, Martonosi M, Tseng CW, Hall MW. Characterizing the memory behavior of compiler-parallelized applications Ieee Transactions On Parallel and Distributed Systems. 7: 1224-1237. DOI: 10.1109/71.553272  0.316
1996 Torrie E, Martonosi M, Hall MW. Memory referencing behavior in compiler-parallelized applications International Journal of Parallel Programming. 24: 349-376. DOI: 10.1007/Bf03356754  0.309
Show low-probability matches.