Alain C. Diebold - Publications

Affiliations: 
Nanoscale Science and Engineering-Nanoscale Science State University of New York, Albany, Albany, NY, United States 
Area:
Nanoscience, General Physics, Materials Science Engineering

115 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Korde M, Kal S, Alix C, Keller N, Antonelli GA, Mosden A, Diebold AC. Nondestructive characterization of nanoscale subsurface features fabricated by selective etching of multilayered nanowire test structures using Mueller matrix spectroscopic ellipsometry based scatterometry Journal of Vacuum Science & Technology B. 38: 024007. DOI: 10.1116/1.5136291  0.346
2019 Mukundan V, Beckmann K, Tapily K, Consiglio S, Clark R, Leusink G, Cady N, Diebold AC. Structural Correlation of Ferroelectric Behavior in Mixed Hafnia-Zirconia High-k Dielectrics for FeRAM and NCFET Applications Mrs Advances. 4: 545-551. DOI: 10.1557/Adv.2019.148  0.396
2018 Walsh LA, Green AJ, Addou R, Nolting W, Cormier CR, Barton AT, Mowll TR, Yue R, Lu N, Kim J, Kim MJ, LaBella VP, Ventrice CA, McDonnell S, Vandenberghe WG, ... ... Diebold A, et al. Fermi Level Manipulation Through Native Doping in the Topological Insulator BiSe. Acs Nano. PMID 29874037 DOI: 10.1021/Acsnano.8B03414  0.304
2018 Diebold AC, Antonelli A, Keller N. Perspective: Optical measurement of feature dimensions and shapes by scatterometry Apl Materials. 6: 058201. DOI: 10.1063/1.5018310  0.372
2017 Dey S, Yu K, Consiglio S, Tapily K, Hakamata T, Wajda CS, Leusink GJ, Jordan-Sweet J, Lavoie C, Muir D, Moreno B, Diebold AC. Atomic layer deposited ultrathin metal nitride barrier layers for ruthenium interconnect applications Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 35: 03E109. DOI: 10.1116/1.4979709  0.387
2017 Yang F, Sendova M, Jacobs-Gedrim RB, Song ES, Green A, Thiesen P, Diebold A, Yu B. Rapid optical determination of topological insulator nanoplate thickness and oxidation Aip Advances. 7: 015114. DOI: 10.1063/1.4973403  0.336
2017 Funke S, Wurstbauer U, Miller B, Matković A, Green A, Diebold A, Röling C, Thiesen PH. Spectroscopic imaging ellipsometry for automated search of flakes of mono- and n-layers of 2D-materials Applied Surface Science. 421: 435-439. DOI: 10.1016/J.Apsusc.2016.10.158  0.329
2016 Dey S, Tapily K, Consiglio S, Yu K, Clark RD, Wajda CS, Leusink GJ, Woll AR, Diebold AC. Higher-k Tetragonal Phase Stabilization in Atomic Layer Deposited Hf1-xZrxO2 (0Mrs Advances. 1: 269-274. DOI: 10.1557/Adv.2016.65  0.425
2016 Consiglio S, Dey S, Yu K, Tapily K, Clark RD, Hasegawa T, Wajda CS, Leusink GJ, Diebold AC. In Situ Ramp Anneal X-ray Diffraction Study of Atomic Layer Deposited Ultrathin TaN and Ta1-xAlxNyFilms for Cu Diffusion Barrier Applications Ecs Journal of Solid State Science and Technology. 5: P509-P513. DOI: 10.1149/2.0201609Jss  0.35
2016 Dixit D, Green A, Hosler ER, Kamineni V, Preil ME, Keller N, Race J, Chun JS, O'Sullivan M, Khare P, Montgomery W, Diebold AC. Optical critical dimension metrology for directed self-assembly assisted contact hole shrink Journal of Micro/ Nanolithography, Mems, and Moems. 15. DOI: 10.1117/1.Jmm.15.1.014004  0.732
2016 An YQ, Green AJ, Diebold AC. Tracking quintuple layer oxidation on cleaved B i2 S e3 by optical second-harmonic anisotropy Physical Review B - Condensed Matter and Materials Physics. 93. DOI: 10.1103/Physrevb.93.241308  0.316
2016 Dey S, Tapily K, Consiglio S, Clark RD, Wajda CS, Leusink GJ, Woll AR, Diebold AC. Role of Ge and Si substrates in higher-k tetragonal phase formation and interfacial properties in cyclical atomic layer deposition-anneal Hf1−xZrxO2/Al2O3 thin film stacks Journal of Applied Physics. 120: 125304. DOI: 10.1063/1.4963166  0.467
2016 Sunkoju S, Schujman S, Dixit D, Diebold A, Li J, Collins R, Haldar P. Spectroscopic ellipsometry studies of 3-stage deposition of CuIn1 - XGaxSe2 on Mo-coated glass and stainless steel substrates Thin Solid Films. 606: 113-119. DOI: 10.1016/J.Tsf.2016.03.050  0.398
2015 Dixit D, O'Mullane S, Sunkoju S, Hosler ER, Kamineni V, Preil M, Keller N, Race J, Muthinti GR, Diebold AC. Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry Proceedings of Spie - the International Society For Optical Engineering. 9424. DOI: 10.1117/12.2185543  0.806
2015 Dixit D, Hosler ER, Preil M, Keller N, Race J, Chun JS, O'sullivan M, Montgomery MW, Diebold A. Optical CD metrology for directed self-assembly assisted contact hole shrink process Proceedings of Spie - the International Society For Optical Engineering. 9424. DOI: 10.1117/12.2085054  0.4
2015 Dixit D, O'Mullane S, Sunkoju S, Gottipati A, Hosler ER, Kamineni V, Preil M, Keller N, Race J, Muthinti GR, Diebold AC. Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology Journal of Micro/ Nanolithography, Mems, and Moems. 14. DOI: 10.1117/1.Jmm.14.3.031208  0.808
2015 Dixit DJ, Kamineni V, Farrell R, Hosler ER, Preil M, Race J, Peterson B, Diebold AC. Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Journal of Micro/ Nanolithography, Mems, and Moems. 14. DOI: 10.1117/1.Jmm.14.2.021102  0.719
2015 Narasimham AJ, Green A, Matyi RJ, Khare P, Vo T, Diebold A, LaBella VP. Pulsed-N2 assisted growth of 5-20 nm thick β-W films Aip Advances. 5. DOI: 10.1063/1.4935372  0.391
2014 O'Mullane S, Peterson B, Race J, Keller N, Diebold AC. Enhancing one dimensional sensitivity with plasmonic coupling. Optics Express. 22: 26246-53. PMID 25401657 DOI: 10.1364/Oe.22.026246  0.326
2014 Nelson FJ, Idrobo JC, Fite JD, Mišković ZL, Pennycook SJ, Pantelides ST, Lee JU, Diebold AC. Electronic excitations in graphene in the 1-50 eV range: the π and π + σ peaks are not plasmons. Nano Letters. 14: 3827-31. PMID 24884760 DOI: 10.1021/Nl500969T  0.616
2014 Tapily K, Consiglio S, Clark RD, Vasić R, Wajda CS, Jordan-Sweet J, Leusink GJ, Diebold AC. Electrical Enhancement and Higher-K Engineering in Ultra-Thin Atomic Layer Deposited Hf1-xAlxOyFilms Ecs Journal of Solid State Science and Technology. 4: N1-N5. DOI: 10.1149/2.0051502Jss  0.377
2014 Dixit D, Kamineni V, Farrell R, Hosler E, Preil M, Race J, Peterson B, Diebold AC. Metrology for directed self-assembly block lithography using optical scatterometry Proceedings of Spie. 9050. DOI: 10.1117/12.2047111  0.702
2014 Medikonda M, Muthinti GR, Vasić R, Adam TN, Reznicek A, Wormington M, Malladi G, Kim Y, Huang YC, Diebold AC. Optical properties of pseudomorphic Ge1-xSnx (x = 0 to 0.11) alloys on Ge(001) Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 32. DOI: 10.1116/1.4901254  0.789
2014 Consiglio S, Tapily K, Clark RD, Hasegawa T, Amano F, Leusink GJ, Jordan-Sweet J, Vasić R, Medikonda M, Diebold AC. Engineering crystallinity of atomic layer deposited gate stacks containing ultrathin HfO2and a Ti-based metal gate: Effects of postmetal gate anneal and integration schemes Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 32. DOI: 10.1116/1.4869162  0.8
2014 Medikonda M, Muthinti GR, Fronheiser J, Kamineni V, Wormington M, Matney K, Adam TN, Karapetrova E, Diebold AC. Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1-xGex/Si fin structures using x-ray reciprocal space maps Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 32. DOI: 10.1116/1.4863316  0.778
2014 Dixit D, Medikonda M, Diebold AC, Peterson B, Race J. Mueller matrix optical scatterometry of Si fins patterned using directed self-assembly block copolymer line arrays Asmc (Advanced Semiconductor Manufacturing Conference) Proceedings. 180-185. DOI: 10.1109/ASMC.2014.6846994  0.802
2014 An YQ, Rowe JE, Dougherty DB, Lee JU, Diebold AC. Optical second-harmonic generation induced by electric current in graphene on Si and SiC substrates Physical Review B - Condensed Matter and Materials Physics. 89. DOI: 10.1103/Physrevb.89.115310  0.34
2014 Narasimham AJ, Medikonda M, Matsubayashi A, Khare P, Chong H, Matyi RJ, Diebold A, Labella VP. Fabrication of 5-20 nm thick β -W films Aip Advances. 4. DOI: 10.1063/1.4903165  0.809
2013 An YQ, Nelson F, Lee JU, Diebold AC. Enhanced optical second-harmonic generation from the current-biased graphene/SiO2/Si(001) structure. Nano Letters. 13: 2104-9. PMID 23581964 DOI: 10.1021/Nl4004514  0.646
2013 An YQ, Dougherty DB, Rowe JE, Sandin A, Lee JU, Diebold AC. Enhanced optical second-harmonic generation from current-biased graphene on the substrates of Si and SiC Proceedings of Spie - the International Society For Optical Engineering. 8845. DOI: 10.1117/12.2033421  0.33
2013 Diebold AC, Medikonda M, Muthinti GR, Kamineni VK, Fronheiser J, Wormington M, Peterson B, Race J. Fin stress and pitch measurement using X-ray diffraction reciprocal space maps and optical scatterometry Proceedings of Spie - the International Society For Optical Engineering. 8681. DOI: 10.1117/12.2023081  0.771
2013 Muthinti GR, Medikonda M, Fronheiser J, Kamineni VK, Peterson B, Race J, Diebold AC. Mueller based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties Proceedings of Spie - the International Society For Optical Engineering. 8681. DOI: 10.1117/12.2011649  0.775
2013 Kong LW, Lloyd JR, Rudack AC, Diebold AC. Thermally induced void growth in through-silicon vias Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.2.023010  0.601
2013 Muthinti GR, Peterson B, Bonam RK, Diebold AC. Characterization of e-beam patterned grating structures using Mueller matrix based scatterometry Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.1.013018  0.804
2013 Diebold AC. Nanoscale characterization and metrology Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 31: 050804. DOI: 10.1116/1.4807116  0.416
2013 Vasić R, Consiglio S, Clark RD, Tapily K, Sallis S, Chen B, Newby D, Medikonda M, Raja Muthinti G, Bersch E, Jordan-Sweet J, Lavoie C, Leusink GJ, Diebold AC. Multi-technique x-ray and optical characterization of crystalline phase, texture, and electronic structure of atomic layer deposited Hf 1-xZrxO2 gate dielectrics deposited by a cyclical deposition and annealing scheme Journal of Applied Physics. 113. DOI: 10.1063/1.4811446  0.808
2013 Idrobo J, Nelson F, Mišković Z, Diebold A, Pennycook S, Pantelides S. Identifying the Optical Response of Graphene Using Electron Energy-Loss Spectroscopy Microscopy and Microanalysis. 19: 1938-1939. DOI: 10.1017/S1431927613011689  0.303
2012 Spratt WT, Huang M, Jia C, Wang L, Kamineni VK, Diebold AC, Matyi R, Xia H. Effects of hydrogen ion implantation and thermal annealing on structural and optical properties of single-crystal sapphire Materials Research Society Symposium Proceedings. 1354: 97-102. DOI: 10.1557/Opl.2011.1279  0.711
2012 Consiglio S, Clark RD, Bersch E, Larose JD, Wells I, Tapily K, Leusink GJ, Diebold AC. Crystallinity of electrically scaled atomic layer deposited HfO 2 from a cyclical deposition and annealing scheme Journal of the Electrochemical Society. 159. DOI: 10.1149/2.101206Jes  0.41
2012 Tapily K, Consiglio S, Clark RD, Vasić R, Bersch E, Jordan-Sweet J, Wells I, Leusink GJ, Diebold AC. Texturing and tetragonal phase stabilization of ALD Hf xZr 1-xO 2 using a cyclical deposition and annealing scheme Ecs Transactions. 45: 411-420. DOI: 10.1149/1.3700906  0.302
2012 Kong LW, Lloyd JR, Liehr M, Rudack AC, Arkalgud S, Diebold AC. Measuring thermally induced void growth in conformally filled through-silicon vias (TSVs) by laboratory X-ray microscopy Proceedings of Spie - the International Society For Optical Engineering. 8324. DOI: 10.1117/12.916599  0.598
2012 Muthinti GR, Peterson B, Diebold AC. Investigation of E-beam patterned nanostructures using Mueller Matrix based scatterometry Proceedings of Spie - the International Society For Optical Engineering. 8324. DOI: 10.1117/12.916289  0.802
2012 Nelson F, Sandin A, Dougherty DB, Aspnes DE, Rowe JE, Diebold AC. Optical and structural characterization of epitaxial graphene on vicinal 6H-SiC(0001)-Si by spectroscopic ellipsometry, Auger spectroscopy, and STM Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4726199  0.649
2012 Banyay M, Juschkin L, Bersch E, Franca D, Liehr M, Diebold A. Cross characterization of ultrathin interlayers in HfO 2 high-k stacks by angle resolved x-ray photoelectron spectroscopy, medium energy ion scattering, and grazing incidence extreme ultraviolet reflectometry Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 30. DOI: 10.1116/1.4718433  0.431
2012 Raja Muthinti G, Medikonda M, Adam T, Reznicek A, Diebold AC. Effects of stress on the dielectric function of strained pseudomorphic Si 1-xGe x alloys from 0 to 75 Ge grown on Si (001) Journal of Applied Physics. 112. DOI: 10.1063/1.4751275  0.803
2012 Kamineni HS, Kamineni VK, Moore RL, Gallis S, Diebold AC, Huang M, Kaloyeros AE. Optical and structural characterization of thermal oxidation effects of erbium thin films deposited by electron beam on silicon Journal of Applied Physics. 111. DOI: 10.1063/1.3675278  0.732
2012 Kong L, Rudack AC, Krueger P, Zschech E, Arkalgud S, Diebold A. 3D-interconnect: Visualization of extrusion and voids induced in copper-filled through-silicon vias (TSVs) at various temperatures using X-ray microscopy Microelectronic Engineering. 92: 24-28. DOI: 10.1016/J.Mee.2011.04.012  0.63
2012 Knickerbocker JU, Kong LW, Niese S, Diebold A, Zschech E. 3D Interconnect Technology Advanced Interconnects For Ulsi Technology. 435-490. DOI: 10.1002/9781119963677.ch12  0.539
2011 Di M, Bersch E, Diebold AC, Consiglio S, Clark RD, Leusink GJ, Kaack T. Comparison of methods to determine bandgaps of ultrathin HfO2 films using spectroscopic ellipsometry Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 29. DOI: 10.1116/1.3597838  0.781
2011 Kamineni VK, Diebold AC. Overview of optical metrology of advanced semiconductor materials Aip Conference Proceedings. 1395: 33-40. DOI: 10.1063/1.3657863  0.733
2011 Kamineni VK, Diebold AC. Electron-phonon interaction effects on the direct gap transitions of nanoscale Si films Applied Physics Letters. 99. DOI: 10.1063/1.3650470  0.73
2011 Spratt WT, Huang M, Jia C, Wang L, Kamineni VK, Diebold AC, Xia H. Formation of optical barriers with excellent thermal stability in single-crystal sapphire by hydrogen ion implantation and thermal annealing Applied Physics Letters. 99. DOI: 10.1063/1.3637613  0.699
2011 Kong LW, Lloyd JR, Yeap KB, Zschech E, Rudack A, Liehr M, Diebold A. Applying x-ray microscopy and finite element modeling to identify the mechanism of stress-assisted void growth in through-silicon vias Journal of Applied Physics. 110. DOI: 10.1063/1.3629988  0.581
2011 Kamineni VK, Hilfiker JN, Freeouf JL, Consiglio S, Clark R, Leusink GJ, Diebold AC. Extension of Far UV spectroscopic ellipsometry studies of High-κ dielectric films to 130 nm Thin Solid Films. 519: 2894-2898. DOI: 10.1016/J.Tsf.2010.12.080  0.739
2011 Tungare M, Kamineni VK, Shahedipour-Sandvik F, Diebold AC. Dielectric properties and thickness metrology of strain engineered GaN/AlN/Si (111) thin films grown by MOCVD Thin Solid Films. 519: 2929-2932. DOI: 10.1016/J.Tsf.2010.12.079  0.752
2011 Di M, Bersch E, Clark R, Consiglio S, Leusink G, Diebold AC. Spectroscopic ellipsometry characterization of high-k gate stacks with Vt shift layers Thin Solid Films. 519: 2889-2893. DOI: 10.1016/J.Tsf.2010.12.060  0.792
2011 Kamineni VK, Singh P, Kong L, Hudnall J, Qureshi J, Taylor C, Rudack A, Arkalgud S, Diebold AC. Investigation of optical properties of benzocyclobutene wafer bonding layer used for 3D interconnects via infrared spectroscopic ellipsometry Thin Solid Films. 519: 2924-2928. DOI: 10.1016/J.Tsf.2010.11.084  0.757
2010 Nelson F, Diebold AC, Hull R. Simulation study of aberration-corrected high-resolution transmission electron microscopy imaging of few-layer-graphene stacking. Microscopy and Microanalysis : the Official Journal of Microscopy Society of America, Microbeam Analysis Society, Microscopical Society of Canada. 16: 194-9. PMID 20100382 DOI: 10.1017/S1431927609991309  0.632
2010 Diebold AC, Nelson F. Graphene metrology and devices International Journal of Materials Research. 101: 175-181. DOI: 10.3139/146.110263  0.595
2010 Singh P, Hudnall J, Qureshi J, Kamineni VK, Taylor C, Rudack A, Diebold A, Arkalgud S. An Optimized 300mm BCB Wafer Bonding Process for 3D Integration Mrs Proceedings. 1249. DOI: 10.1557/Proc-1249-F09-06  0.695
2010 Kong LW, Krueger P, Zschech E, Rudack AC, Arkalgud S, Diebold AC. Sub-imaging techniques for 3D-interconnects on bonded wafer pairs Aip Conference Proceedings. 1300: 221-228. DOI: 10.1063/1.3527129  0.556
2010 Nelson FJ, Kamineni VK, Zhang T, Comfort ES, Lee JU, Diebold AC. Optical properties of large-area polycrystalline chemical vapor deposited graphene by spectroscopic ellipsometry Applied Physics Letters. 97. DOI: 10.1063/1.3525940  0.702
2010 Di M, Bersch E, Clark RD, Consiglio S, Leusink GJ, Diebold AC. Systematic study of the effect of La2 O3 incorporation on the flatband voltage and Si band bending in the TiN/ HfO 2 / SiO2 /p-Si stack Journal of Applied Physics. 108. DOI: 10.1063/1.3516483  0.786
2010 Kamineni VK, Raymond M, Bersch EJ, Doris BB, Diebold AC. Optical metrology of Ni and NiSi thin films used in the self-aligned silicidation process Journal of Applied Physics. 107. DOI: 10.1063/1.3380665  0.738
2010 Bersch E, Di M, Consiglio S, Clark RD, Leusink GJ, Diebold AC. Complete band offset characterization of the HfO2/SiO 2/Si stack using charge corrected x-ray photoelectron spectroscopy Journal of Applied Physics. 107. DOI: 10.1063/1.3284961  0.799
2009 Bersch E, Di M, Consiglio S, Clark RD, Leusink GJ, Diebold AC. Characterization of HfO2 and hafnium silicate films on SiO 2/Si Aip Conference Proceedings. 1173: 55-61. DOI: 10.1063/1.3251260  0.355
2009 Kamineni VK, Settens CM, Grill A, Antonelli GA, Matyi RJ, Diebold AC. Spectroscopic ellipsometry of porous low-κ dielectric thin films Aip Conference Proceedings. 1173: 168-172. DOI: 10.1063/1.3251215  0.706
2009 Settens CM, Kamineni VK, Antonelli GA, Grill A, Diebold AC, Matyi RJ. X-ray scattering methods for porosity metrology of low-k thin films Aip Conference Proceedings. 1173: 163-167. DOI: 10.1063/1.3251214  0.694
2009 Kamineni VK, Raymond M, Bersch EJ, Doris BB, Diebold AC. Thickness measurement of thin-metal films by optical metrology Aip Conference Proceedings. 1173: 114-121. DOI: 10.1063/1.3251204  0.721
2009 Di M, Bersch E, Consiglio S, Zhang T, Tyagi P, Clark RD, Leusink GJ, Srivatsa A, Diebold AC. Spectroscopic ellipsometry characterization of high-k films on SiO 2/Si Aip Conference Proceedings. 1173: 104-108. DOI: 10.1063/1.3251202  0.335
2008 Diebold AC, Price J. Observation of quantum confinement and quantum size effects Physica Status Solidi (a). 205: 896-900. DOI: 10.1002/Pssa.200777891  0.319
2008 Price J, Lysaght PS, Song SC, Diebold AC, An YQ, Downer MC. Observation of interfacial electrostatic field-induced changes in the silicon dielectric function using spectroscopic ellipsometry Physica Status Solidi (a). 205: 918-921. DOI: 10.1002/Pssa.200777836  0.389
2007 Windover D, Gil DL, Cline JP, Henins A, Armstrong N, Hung PY, Song SC, Jammy R, Diebold A. X-Ray Reflectometry Determination of Structural Information from Atomic Layer Deposition Nanometer-scale Hafnium Oxide Thin Films Mrs Proceedings. 996. DOI: 10.1557/Proc-0996-H07-05  0.449
2007 Heh D, Young CD, Brown GA, Hung PY, Diebold A, Vogel EM, Bernstein JB, Bersuker G. Spatial distributions of trapping centers in HfO2/SiO2 gate stack Ieee Transactions On Electron Devices. 54: 1338-1345. DOI: 10.1109/Ted.2007.896371  0.365
2007 Price J, Lysaght PS, Song SC, Li H, Diebold AC. Identification of sub-band-gap absorption features at the HfO2∕Si(100) interface via spectroscopic ellipsometry Applied Physics Letters. 91: 61925. DOI: 10.1063/1.2769389  0.414
2007 Khajetoorians AA, Li J, Shih CK, Wang X, Garcia-Gutierrez D, Jose-Yacaman M, Pham D, Celio H, Diebold A. Dopant characterization of fin field-effect transistor structures using scanning capacitance microscopy Journal of Applied Physics. 101: 034505. DOI: 10.1063/1.2434000  0.318
2006 Ehrstein J, Richter C, Chandler-Horowitz D, Vogel E, Young C, Shah S, Maher D, Foran B, Hung PY, Diebold A. A Comparison of Thickness Values for Very Thin SiO[sub 2] Films by Using Ellipsometric, Capacitance-Voltage, and HRTEM Measurements Journal of the Electrochemical Society. 153: F12. DOI: 10.1149/1.2133710  0.403
2006 Hung PY, Alshareef H, Lafford T, Bowen DK, Majhi P, Diebold A. Application of x-ray metrology in the characterization of metal gate thin films Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 24: 2437. DOI: 10.1116/1.2335430  0.393
2006 Carriles R, Kwon J, An YQ, Sun L, Stanley SK, Ekerdt JG, Downer MC, Price J, Boescke T, Diebold AC. Optical characterization of process-dependent charging in hafnium oxide structures Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 24: 2160. DOI: 10.1116/1.2218867  0.373
2006 Garcia-Gutierrez DI, Jose-Yacaman M, Khajetoorians AA, Shih CK, Wang X, Pham D, Celio H, Diebold A. Study of two-dimensional B doping profile in Si fin field-effect transistor structures by high angle annular dark field in scanning transmission electron microscopy mode Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 24: 730. DOI: 10.1116/1.2181574  0.367
2006 Korgel BA, Lee DC, Hanrath T, Yacaman MJ, Thesen A, Matijevic M, Kilaas R, Kisielowski C, Diebold AC. Application of aberration-corrected TEM and image simulation to nanoelectronics and nanotechnology Ieee Transactions On Semiconductor Manufacturing. 19: 391-395. DOI: 10.1109/Tsm.2006.884713  0.322
2006 Heh D, Young CD, Brown GA, Hung PY, Diebold A, Bersuker G, Vogel EM, Bernstein JB. Spatial distributions of trapping centers in HfO 2/SiO 2 gate stacks Applied Physics Letters. 88. DOI: 10.1063/1.2195896  0.348
2005 Nguyen NV, Sayan S, Levin I, Ehrstein JR, Baumvol IJR, Driemeier C, Krug C, Wielunski L, Hung PY, Diebold A. Optical band gaps and composition dependence of hafnium-aluminate thin films grown by atomic layer chemical vapor deposition Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 1706-1713. DOI: 10.1116/1.2091096  0.388
2005 Hung PY, Gondran C, Ghatak-Roy A, Terada S, Bunday B, Yeung H, Diebold A. X-ray reflectometry and x-ray fluorescence monitoring of the atomic layer deposition process for high-k gate dielectrics Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 23: 2244. DOI: 10.1116/1.2009774  0.407
2005 Celio H, Diebold AC. Optical and X‐ray Metrology of Low‐k Materials: Porosity Characterization and Metrology For Ulsi Technology. 788: 522-532. DOI: 10.1063/1.2063014  0.365
2005 Windover D, Armstrong N, Cline JP, Hung PY, Diebold A. Characterization of Atomic Layer Deposition using X‐Ray Reflectometry Characterization and Metrology For Ulsi Technology. 788: 161-165. DOI: 10.1063/1.2062956  0.428
2005 Price J, Diebold AC, Carriles R, An Y, Kwon J, Downer MW. Complimentary Optical Metrology Techniques Used for Characterization of High-K Gate Dielectrics Characterization and Metrology For Ulsi Technology. 788: 129-135. DOI: 10.1063/1.2062950  0.404
2005 Hanrath T, Lee DC, Korgel BA, Diebold A. TEM and MultiSlice Simulation Investigation of Si and Ge Nanowires Microscopy and Microanalysis. 11. DOI: 10.1017/S1431927605504203  0.34
2004 Diebold AC, Foran B, Kisielowski C, Muller DA, Pennycook SJ, Principe E, Stemmer S. Thin dielectric film thickness determination by advanced transmission electron microscopy. Microscopy and Microanalysis : the Official Journal of Microscopy Society of America, Microbeam Analysis Society, Microscopical Society of Canada. 9: 493-508. PMID 14750984 DOI: 10.1017/S1431927603030629  0.38
2004 Price J, Hung PY, Rhoad T, Foran B, Diebold AC. Spectroscopic ellipsometry characterization of HfxSiyOz films using the Cody–Lorentz parameterized model Applied Physics Letters. 85: 1701-1703. DOI: 10.1063/1.1784889  0.388
2003 Ehrstein JR, Richter CA, Chandler-Horowitz D, Vogel EM, Ricks DR, Young C, Spencer S, Shah S, Maher D, Foran BC, Diebold AC, Hung P. Thickness Evaluation for 2nm SiO2 Films, a Comparison of Ellipsometric, Capacitance‐Voltage and HRTEM Measurements Characterization and Metrology For Ulsi Technology. 683: 331-336. DOI: 10.1063/1.1622491  0.423
2002 Hung PY, Brown GA, Zhang M, Bennett J, AL-Shareef HN, Young C, Oroshiba C, Diebold A. Metrology Study of Sub 20Å Oxynitride by Corona-Oxide-Silicon (COS) and Conventional C-V Approaches Mrs Proceedings. 716. DOI: 10.1557/Proc-716-B2.12  0.313
2002 Diebold A. Metrology technology for the 70-nm node: process control through amplification and averaging microscopic changes Ieee Transactions On Semiconductor Manufacturing. 15: 169-182. DOI: 10.1109/66.999588  0.323
2001 Chism W, Diebold A, Canterbury J, Richter C. Characterization and production metrology of thin transistor gate dielectric films Solid State Phenomena. 76: 177-180. DOI: 10.4028/Www.Scientific.Net/Ssp.76-77.177  0.382
2001 Landree E, Jach T, Brady D, Karamcheti A, Canterbury J, Chism W, Diebold AC. Characterization of silicon-oxynitride dielectric thin films using grazing incidence x-ray photoelectron spectroscopy Characterization and Metrology For Ulsi Technology. 550: 159-163. DOI: 10.1063/1.1354390  0.409
2001 Scott JHJ, Windsor ES, Brady D, Canterbury J, Karamcheti A, Chism W, Diebold AC. Gate dielectric thickness metrology using transmission electron microscopy Characterization and Metrology For Ulsi Technology. 550: 144-148. DOI: 10.1063/1.1354387  0.418
2001 Diebold AC, Canterbury J, Chism W, Richter C, Nguyen N, Ehrstein J, Weintraub C. Characterization and production metrology of gate dielectric films Materials Science in Semiconductor Processing. 4: 3-8. DOI: 10.1016/S1369-8001(00)00153-0  0.393
1999 Karamcheti A, Watt V, Luo T, Brady D, Shaapur F, Vishnubhotla L, Gale G, Huff H, Jackson M, Torres K, Diebold A, Guan J, Gilmer M, Brown G, Bersuker G, et al. Electrical and Physical Characterization of Ultrathin Silicon Oxynitride Gate Dielectric Films Formed by the Jet Vapor Deposition Technique Mrs Proceedings. 592. DOI: 10.1557/Proc-592-307  0.42
1999 Diebold AC, Venables D, Chabal Y, Muller D, Weldon M, Garfunkel E. Characterization and production metrology of thin transistor gate oxide films Materials Science in Semiconductor Processing. 2: 103-147. DOI: 10.1016/S1369-8001(99)00009-8  0.422
1998 Diebold AC, Childs K, Lindley P, Viteralli J, Kingsley J, Liu BYH, Woo K. Erratum: “Comparison of the submicron particle analysis capabilities of Auger electron spectroscopy, time-of-flight secondary ion mass spectrometry, and scanning electron microscopy with energy dispersive x-ray spectroscopy for particles deposited on silicon wafers with one micron thick oxide layers” [J. Vac. Sci. Technol. A 16, 1825 (1998)] Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 16: 3148-3148. DOI: 10.1116/1.581477  0.326
1998 Diebold AC, Lindley P, Viteralli J, Kingsley J, Liu BYH, Woo K. Comparison of the submicron particle analysis capabilities of Auger electron spectroscopy, time-of-flight secondary ion mass spectrometry, and scanning electron microscopy with energy dispersive x-ray spectroscopy for particles deposited on silicon wafers with 1 μm thick oxide layers Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 16: 1825-1831. DOI: 10.1116/1.581417  0.356
1998 Wollman DA, Hilton GC, Irwin KD, Dulcie LL, Bergren NF, Newbury DE, Woo K, Liu BYH, Diebold AC, Martinis JM. High-Resolution Microcalorimeter Energy-Dispersive Spectrometer for X-Ray Microanalysis and Particle Analysis Characterization and Metrology For Ulsi Technology. 449: 799-804. DOI: 10.1063/1.56867  0.341
1998 Banks JC, Doyle BL, Knapp JA, Werho D, Gregory RB, Anthony M, Hurd TQ, Diebold AC. Using heavy ion backscattering spectrometry (HIBS) to solve integrated circuit manufacturing problems Nuclear Instruments & Methods in Physics Research Section B-Beam Interactions With Materials and Atoms. 136: 1223-1228. DOI: 10.1016/S0168-583X(97)00817-3  0.326
1997 Murray Bullis W, Seiler DG, Diebold AC, Rubin LG. Semiconductor Characterization: Present Status and Future Needs Physics Today. 50: 68-69. DOI: 10.1063/1.881955  0.332
1997 Werho D, Gregory R, Schauer S, Liu X, Carney G, Banks J, Knapp J, Doyle B, Diebold A. Calibration of reference materials for total-reflection X-ray fluorescence analysis by heavy ion backscattering spectrometry Spectrochimica Acta Part B: Atomic Spectroscopy. 52: 881-886. DOI: 10.1016/S0584-8547(96)01641-2  0.351
1996 Diebold AC. Calibration issues for total reflection x‐ray fluorescence analysis of surface metallic contamination on silicon Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 14: 1919-1923. DOI: 10.1116/1.580360  0.349
1996 Childs KD, Narum D, LaVanier LA, Lindley PM, Schueler BW, Mulholland G, Diebold AC. Comparison of submicron particle analysis by Auger electron spectroscopy, time‐of‐flight secondary ion mass spectrometry, and secondary electron microscopy with energy dispersive x‐ray spectroscopy Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 14: 2392-2404. DOI: 10.1116/1.580027  0.323
1994 Diebold AC. Materials and failure analysis methods and systems used in the development and manufacture of silicon integrated circuits Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 12: 2768. DOI: 10.1116/1.587190  0.305
1994 Dadap JI, Doris B, Deng Q, Downer MC, Lowell JK, Diebold AC. Randomly oriented Angstrom-scale microroughness at the Si(100)/SiO 2 interface probed by optical second harmonic generation Applied Physics Letters. 64: 2139-2141. DOI: 10.1063/1.111711  0.398
1994 Calaway EF, Conn SR, Pellin MJ, Gruen DM, Gordon M, Diebold AC, Maillot P, Banks JC, Knapp JA. Characterization of Ni on Si wafers: comparison of surface analysis techniques Surface and Interface Analysis. 21: 131-137. DOI: 10.1002/Sia.740210211  0.373
1993 Diebold AC, Doris B. A survey of non-destructive surface characterization methods used to insure reliable gate oxide fabrication for silicon IC devices Surface and Interface Analysis. 20: 127-139. DOI: 10.1002/Sia.740200207  0.327
1992 Diebold AC, Maillot P, Gordon M, Baylis J, Chacon J, Witowski R, Arlinghaus HF, Knapp JA, Doyle BL. Evaluation of surface analysis methods for characterization of trace metal surface contaminants found in silicon integrated circuit manufacturing Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 10: 2945-2952. DOI: 10.1116/1.577734  0.318
1991 Rastogi R, Dharmadhikari V, Diebold A. Stress variation with temperature/time and its correlation to film structure and deposition parameters Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 9: 2453-2458. DOI: 10.1116/1.577255  0.362
1989 Diebold AC. Use of Raman spectroscopy to characterize strain in III–V epilayers: Application to InAs on GaAs(001) grown by molecular-beam epitaxy Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 7: 365. DOI: 10.1116/1.584752  0.404
1986 Raybould D, Diebold AC. An investigation by Auger and laser acoustic microscopy of the bond between layers of consolidated amorphous ribbon (Powercore) Journal of Materials Science. 21: 193-202. DOI: 10.1007/Bf01144720  0.326
Show low-probability matches.