Daeyeon Kim, Ph.D. - Publications

Affiliations: 
2012 University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Electronics and Electrical Engineering, Computer Engineering

9 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Guo Z, Kim D, Nalam S, Wiedemer J, Wang X, Karl E. A 23.6-Mb/mm $^{2}$ SRAM in 10-nm FinFET Technology With Pulsed-pMOS TVC and Stepped-WL for Low-Voltage Applications Ieee Journal of Solid-State Circuits. 54: 210-216. DOI: 10.1109/Jssc.2018.2861873  0.757
2015 Karl E, Guo Z, Conary J, Miller J, Ng YG, Nalam S, Kim D, Keane J, Wang X, Bhattacharya U, Zhang K. A 0.6 V, 1.5 GHz 84 Mb SRAM in 14 nm FinFET CMOS Technology With Capacitive Charge-Sharing Write Assist Circuitry Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2461592  0.772
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A many-core prototype exploring 3d integration and near-threshold computing Communications of the Acm. 56: 97-104. DOI: 10.1145/2524713.2524725  0.747
2013 Lee Y, Kim D, Cai J, Lauer I, Chang L, Koester SJ, Blaauw D, Sylvester D. Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs) Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1632-1643. DOI: 10.1109/Tvlsi.2012.2213103  0.663
2013 Ghaed MH, Chen G, Haque RU, Wieckowski M, Kim Y, Kim G, Lee Y, Lee I, Fick D, Kim D, Seok M, Wise KD, Blaauw D, Sylvester D. Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 3152-3162. DOI: 10.1109/Tcsi.2013.2265973  0.669
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A 64-Core, 3D stacked near-threshold system Ieee Micro. 33: 8-16. DOI: 10.1109/Mm.2013.4  0.744
2013 Fojtik M, Kim D, Chen G, Lin YS, Fick D, Park J, Seok M, Chen MT, Foo Z, Blaauw D, Sylvester D. A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells Ieee Journal of Solid-State Circuits. 48: 801-813. DOI: 10.1109/Jssc.2012.2233352  0.734
2013 Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Blaauw D, Sylvester D. Centip3De: A cluster-based NTC architecture with 64 ARM cortex-M3 cores in 3D stacked 130 nm CMOS Ieee Journal of Solid-State Circuits. 48: 104-117. DOI: 10.1109/Jssc.2012.2222814  0.743
2009 Hanson S, Seok M, Lin YS, Foo Z, Kim D, Lee Y, Liu N, Sylvester D, Blaauw Dr. D. A low-voltage processor for sensing applications with picowatt standby mode Ieee Journal of Solid-State Circuits. 44: 1145-1155. DOI: 10.1109/Jssc.2009.2014205  0.771
Show low-probability matches.