Dominik Metzler - Publications

Affiliations: 
2016 University of Maryland, College Park, College Park, MD 

18 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Pranda A, Lin K, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Significance of plasma-photoresist interactions for atomic layer etching processes with extreme ultraviolet photoresist Journal of Vacuum Science and Technology. 38: 52601. DOI: 10.1116/6.0000289  0.762
2020 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247  0.797
2018 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291  0.797
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458  0.855
2017 Metzler D, Li C, Lai CS, Hudson EA, Oehrlein GS. Investigation of thin oxide layer removal from Si substrates using an SiO2atomic layer etching approach: the importance of the reactivity of the substrate Journal of Physics D: Applied Physics. 50: 254006. DOI: 10.1088/1361-6463/Aa71F1  0.675
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Chemical Physics. 146. DOI: 10.1063/1.4961458  0.873
2016 Kawakami M, Metzler D, Li C, Oehrlein GS. Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma. Journal of Vacuum Science & Technology. a, Vacuum, Surfaces, and Films : An Official Journal of the American Vacuum Society. 34: 040603. PMID 27375342 DOI: 10.1116/1.4949260  0.714
2016 Li C, Metzler D, Lai CS, Hudson EA, Oehrlein GS. Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4 Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 34: 041307. DOI: 10.1116/1.4954961  0.795
2016 Metzler D, Weilnboeck F, Engelmann S, Bruce RL, Oehrlein GS. He plasma pretreatment of organic masking materials for performance improvement during pattern transfer by plasma etching Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 34. DOI: 10.1116/1.4949274  0.793
2016 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462  0.849
2016 Metzler D, Uppireddi K, Bruce RL, Miyazoe H, Zhu Y, Price W, Sikorski ES, Li C, Engelmann SU, Joseph EA, Oehrlein GS. Application of cyclic fluorocarbon/argon discharges to device patterning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935460  0.81
2015 Engelmann SU, Bruce RL, Nakamura M, Metzler D, Walton SG, Joseph EA. Challenges of tailoring surface chemistry and plasma/surface interactions to advance atomic layer etching Ecs Journal of Solid State Science and Technology. 4: N5054-N5060. DOI: 10.1149/2.0101506Jss  0.838
2015 Oehrlein GS, Metzler D, Li C. Atomic layer etching at the tipping point: An overview Ecs Journal of Solid State Science and Technology. 4: N5041-N5053. DOI: 10.1149/2.0061506Jss  0.773
2015 Metzler D, Weilnboeck F, Hernández SC, Walton SG, Bruce RL, Engelmann S, Salamanca-Riba L, Oehrlein GS. Formation of nanometer-thick delaminated amorphous carbon layer by two-step plasma processing of methacrylate-based polymer Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 33. DOI: 10.1116/1.4928493  0.799
2014 Metzler D, Bruce RL, Engelmann S, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma Journal of Vacuum Science and Technology. 32: 20603. DOI: 10.1116/1.4843575  0.849
2014 Fox-Lyon N, Metzler D, Oehrlein GS, Farber D, Lii T. Controlling asymmetric photoresist feature dimensions during plasma-assisted shrink Plasma Processes and Polymers. 11: 714-720. DOI: 10.1002/Ppap.201400035  0.631
2013 Vogli E, Metzler D, Oehrlein GS. Feasibility of atomic layer etching of polymer material based on sequential O2 exposure and Ar low-pressure plasma-etching Applied Physics Letters. 102. DOI: 10.1063/1.4812750  0.681
2011 Weilnboeck F, Metzler D, Kumar N, Oehrlein GS, Bruce RL, Engelmann S, Fuller N. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity Applied Physics Letters. 99. DOI: 10.1063/1.3671995  0.786
Show low-probability matches.