Xiaoqing Xu - Publications

Affiliations: 
2017 University of Texas at Austin, Austin, Texas, U.S.A. 

18 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Lai Z, Gu L, Yu L, Chen H, Yu Z, Zhang C, Xu X, Zhang M, Zhang M, Ma M, Zhao Z, Zhang J. Delta opioid peptide [d-Ala2, d-Leu5] enkephalin confers neuroprotection by activating delta opioid receptor-AMPK-autophagy axis against global ischemia. Cell & Bioscience. 10: 79. PMID 32549974 DOI: 10.1186/s13578-020-00441-z  0.306
2019 Liu Z, Zhang M, Shen Z, Chen H, Zhang W, Xu X, Lai Z, Sun W, Zhao Z, Zhang J. The coordinating role of the human norovirus minor capsid protein VP2 is essential to functional change and nuclear localization of the major capsid protein VP1. Archives of Virology. PMID 30810804 DOI: 10.1007/s00705-019-04192-2  0.33
2019 Li M, Yu B, Lin Y, Xu X, Li W, Pan DZ. A Practical Split Manufacturing Framework for Trojan Prevention via Simultaneous Wire Lifting and Cell Insertion Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 38: 1585-1598. DOI: 10.1109/Tcad.2018.2859402  0.736
2018 Xu X, Lin Y, Li M, Matsunawa T, Nojima S, Kodama C, Kotani T, Pan DZ. Subresolution Assist Feature Generation With Supervised Data Learning Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 1225-1236. DOI: 10.1109/Tcad.2017.2748029  0.701
2018 Lin Y, Yu B, Xu X, Gao J, Viswanathan N, Liu W, Li Z, Alpert CJ, Pan DZ. MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells for Advanced Nodes Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 1237-1250. DOI: 10.1109/Tcad.2017.2748025  0.769
2017 Xu X, Pan DZ. Toward Unidirectional Routing Closure in Advanced Technology Nodes Ipsj Transactions On System Lsi Design Methodology. 10: 2-12. DOI: 10.2197/Ipsjtsldm.10.2  0.308
2017 Lin Y, Xu X, Yu B, Baldick R, Pan DZ. Triple/quadruple patterning layout decomposition via linear programming and iterative rounding Journal of Micro-Nanolithography Mems and Moems. 16: 23507-23507. DOI: 10.1117/1.Jmm.16.2.023507  0.777
2017 Xu X, Lin Y, Li M, Ou J, Cline B, Pan DZ. Redundant Local-Loop Insertion for Unidirectional Routing Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 36: 1113-1125. DOI: 10.1109/Tcad.2017.2651811  0.761
2017 Livramento V, Liu D, Chowdhury S, Yu B, Xu X, Pan DZ, Guntzel JL, Santos LCVd. Incremental Layer Assignment Driven by an External Signoff Timing Engine Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 36: 1126-1139. DOI: 10.1109/Tcad.2016.2638450  0.71
2016 Xu X, Yu B, Gao JR, Hsu CL, Pan DZ. PARR: Pin-access planning and regular routing for self-aligned double patterning Acm Transactions On Design Automation of Electronic Systems. 21. DOI: 10.1145/2842612  0.679
2016 Xu X, Cline B, Yeric G, Pan DZ. Standard cell pin access and physical design in advanced lithography Proceedings of Spie. 9780. DOI: 10.1117/12.2222289  0.48
2016 Lin Y, Xu X, Yu B, Baldick R, Pan DZ. Triple/quadruple patterning layout decomposition via novel linear programming and iterative rounding Proceedings of Spie. 9781. DOI: 10.1117/12.2218628  0.78
2016 Xu X, Cline B, Yeric G, Yu B, Pan DZ. Systematic framework for evaluating standard cell middle-of-line robustness for multiple patterning lithography Journal of Micro/ Nanolithography, Mems, and Moems. 15. DOI: 10.1117/1.Jmm.15.2.021202  0.668
2016 Yu B, Xu X, Roy S, Lin Y, Ou J, Pan DZ. Design for manufacturability and reliability in extreme-scaling VLSI Science China Information Sciences. 1-23. DOI: 10.1007/S11432-016-5560-6  0.693
2015 Xu X, Cline B, Yeric G, Yu B, Pan DZ. A systematic framework for evaluating standard cell middle-of-line (MOL) robustness for multiple patterning Proceedings of Spie. 9427: 942707. DOI: 10.1117/12.2085918  0.661
2015 Yu B, Xu X, Gao J, Lin Y, Li Z, Alpert CJ, Pan DZ. Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 34: 726-739. DOI: 10.1109/Tcad.2015.2401571  0.79
2015 Xu X, Cline B, Yeric G, Yu B, Pan DZ. Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 34: 699-712. DOI: 10.1109/Tcad.2015.2399439  0.711
2014 Yu B, Gao JR, Xu X, Pan DZ. Bridging the gap from mask to physical design for multiple patterning lithography Proceedings of Spie. 9053: 905308. DOI: 10.1117/12.2048626  0.676
Show low-probability matches.