Xinwei Wang, Ph.D. - Publications

Affiliations: 
2012 Chemical Physics Harvard University, Cambridge, MA, United States 
Area:
applied mathematics, quantum mechanics, spectroscopy, intermolecular forces, solid state and materials science

50 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2023 Li J, Guan Y, Li J, Zhang Y, Zhang Y, Chan M, Wang X, Lu L, Zhang S. Ultra-thin gate insulator of atomic-layer-deposited AlOand HfOfor amorphous InGaZnO thin-film transistors. Nanotechnology. PMID 36962937 DOI: 10.1088/1361-6528/acc742  0.318
2023 Li J, Zhang Y, Wang J, Yang H, Zhou X, Chan M, Wang X, Lu L, Zhang S. Near-Ideal Top-Gate Controllability of InGaZnO Thin-Film Transistors by Suppressing Interface Defects with an Ultrathin Atomic Layer Deposited Gate Insulator. Acs Applied Materials & Interfaces. PMID 36709447 DOI: 10.1021/acsami.2c20176  0.331
2021 Zhao R, Zhang K, Zhu J, Xiao S, Xiong W, Wang J, Liu T, Xing G, Wang K, Yang S, Wang X. Surface passivation of organometal halide perovskites by atomic layer deposition: an investigation of the mechanism of efficient inverted planar solar cells. Nanoscale Advances. 3: 2305-2315. PMID 36133753 DOI: 10.1039/d1na00075f  0.308
2020 He Z, Guo Z, Wa Q, Zhong X, Wang X, Chen Y. NiSx@MoS2 heterostructure prepared by atomic layer deposition as high-performance hydrogen evolution reaction electrocatalysts in alkaline media Journal of Materials Research. 35: 822-830. DOI: 10.1557/Jmr.2019.325  0.354
2020 He Z, Guo Z, Zhong X, Chen X, Xue J, Wang X, Chen Y. Spectroscopic investigation of defects mediated oxidization of single-layer MoS 2 Science China-Technological Sciences. DOI: 10.1007/S11431-020-1593-4  0.317
2019 Liu X, Zhang L, Zheng Y, Guo Z, Zhu Y, Chen H, Li F, Liu P, Yu B, Wang X, Liu J, Chen Y, Liu M. Uncovering the Effect of Lattice Strain and Oxygen Deficiency on Electrocatalytic Activity of Perovskite Cobaltite Thin Films. Advanced Science (Weinheim, Baden-Wurttemberg, Germany). 6: 1801898. PMID 30937267 DOI: 10.1002/Advs.201801898  0.326
2019 Gao Y, Yi Y, Wang X, Meng H, Lei D, Yu XF, Chu PK, Li J. A Novel Hybrid-Layered Organic Phototransistor Enables Efficient Intermolecular Charge Transfer and Carrier Transport for Ultrasensitive Photodetection. Advanced Materials (Deerfield Beach, Fla.). e1900763. PMID 30828883 DOI: 10.1002/Adma.201900763  0.335
2019 Su Y, Gu D, Shao Y, Wang X, Pan F. Improved electrochemical performance of LiNi0.5Mn0.3Co0.2O2 electrodes coated by atomic-layer-deposited Ta2O5 Functional Materials Letters. 12: 1850103. DOI: 10.1142/S1793604718501035  0.33
2019 Zhao R, Xiao S, Yang S, Wang X. Surface Thermolytic Behavior of Nickel Amidinate and Its Implication on the Atomic Layer Deposition of Nickel Compounds Chemistry of Materials. 31: 5172-5180. DOI: 10.1021/Acs.Chemmater.9B01267  0.376
2019 Zhao R, Wang X. Initial Growth and Agglomeration during Atomic Layer Deposition of Nickel Sulfide Chemistry of Materials. 31: 445-453. DOI: 10.1021/Acs.Chemmater.8B03940  0.369
2018 He Z, Zhao R, Chen X, Chen H, Zhu Y, Su H, Huang S, Xue J, Dai J, Cheng S, Liu M, Wang X, Chen Y. Defect Engineering in Single-Layer MoS2 Using Heavy Ion Irradiation. Acs Applied Materials & Interfaces. PMID 30427173 DOI: 10.1021/Acsami.8B17145  0.33
2018 Chen H, Guo Z, Zhang LA, Li Y, Li F, Zhang Y, Chen Y, Wang X, Yu B, Shi JM, Liu J, Yang C, Cheng S, Chen Y, Liu M. Improving the Electro-catalytic Activity and Durability of La0.6Sr0.4Co0.2Fe0.8O3-δ Cathode by Surface Modification. Acs Applied Materials & Interfaces. PMID 30372019 DOI: 10.1021/Acsami.8B14693  0.334
2018 Li F, Li Y, Chen H, Li H, Zheng Y, Zhang Y, Yu B, Wang X, Liu J, Yang C, Chen Y, Liu M. Impact of Strain-Induced Changes in Defect Chemistry on Catalytic Activity of Nd2NiO4+δ Electrodes. Acs Applied Materials & Interfaces. PMID 30277376 DOI: 10.1021/Acsami.8B11877  0.353
2018 Wang J, Guo Z, Xiong W, Wang X. Synthesis of thin-film metal pyrites by an atomic layer deposition approach. Chemistry (Weinheim An Der Bergstrasse, Germany). PMID 30079968 DOI: 10.1002/Chem.201803327  0.361
2018 Yin K, Huang S, Chen X, Wang X, Kong J, Chen Y, Xue J. Generating Sub-nanometer Pores in Single-layer MoS2 by Heavy Ion Bombardment for Gas Separation: A theoretical Perspective. Acs Applied Materials & Interfaces. PMID 30062872 DOI: 10.1021/Acsami.8B10569  0.307
2018 Guo Z, Wang X. Atomic Layer Deposition of the Metal Pyrites FeS2, CoS2, and NiS2. Angewandte Chemie (International Ed. in English). PMID 29607592 DOI: 10.1002/Anie.201803092  0.399
2018 Guo Q, Guo Z, Shi J, Xiong W, Zhang H, Chen Q, Liu Z, Wang X. Atomic Layer Deposition of Nickel Carbide from a Nickel Amidinate Precursor and Hydrogen Plasma. Acs Applied Materials & Interfaces. PMID 29443492 DOI: 10.1021/Acsami.8B00388  0.39
2018 Chen Y, Huang S, Ji X, Adepalli KK, Yin K, Ling X, Wang X, Xue J, Dresselhaus MS, Kong J, Yildiz B. Tuning Electronic Structure of Single Layer MoS2 through Defect and Interface Engineering. Acs Nano. PMID 29397692 DOI: 10.1021/Acsnano.7B08418  0.36
2018 Guo Q, Guo Z, Shi J, Sang L, Gao B, Chen Q, Liu Z, Wang X. Fabrication of nickel and nickel carbide thin films by pulsed chemical vapor deposition Mrs Communications. 8: 88-94. DOI: 10.1557/Mrc.2018.21  0.342
2018 Chu S, Zhao R, Liu R, Gao Y, Wang X, Liu C, Chen J, Zhou H. Atomic-layer-deposited ultra-thin VOx film as a hole transport layer for perovskite solar cells Semiconductor Science and Technology. 33: 115016. DOI: 10.1088/1361-6641/Aae071  0.392
2018 Xiong W, Guo Q, Guo Z, Li H, Zhao R, Chen Q, Liu Z, Wang X. Atomic layer deposition of nickel carbide for supercapacitors and electrocatalytic hydrogen evolution Journal of Materials Chemistry. 6: 4297-4304. DOI: 10.1039/C7Ta10202J  0.374
2018 Zhao R, Guo Z, Wang X. Surface Chemistry during Atomic-Layer Deposition of Nickel Sulfide from Nickel Amidinate and H2S Journal of Physical Chemistry C. 122: 21514-21520. DOI: 10.1021/Acs.Jpcc.8B07230  0.361
2018 Gao Y, Shi Y, Meng H, Wang X. Onset voltage shift in the organic thin-film transistor with an atomic-layer-deposited charge-injection interlayer Organic Electronics. 62: 248-252. DOI: 10.1016/J.Orgel.2018.08.004  0.391
2018 Wang J, Guo Z, Xiong W, Wang X. Frontispiece: Synthesis of Thin‐Film Metal Pyrites by an Atomic Layer Deposition Approach Chemistry: a European Journal. 24. DOI: 10.1002/Chem.201887061  0.344
2017 Shao Y, Guo Z, Li H, Su Y, Wang X. Atomic Layer Deposition of Iron Sulfide and Its Application as a Catalyst in the Hydrogenation of Azobenzenes. Angewandte Chemie (International Ed. in English). PMID 28170144 DOI: 10.1002/Anie.201700449  0.374
2017 Li H, Guo Z, Wang X. Atomic-layer-deposited ultrathin Co9S8 on carbon nanotubes: an efficient bifunctional electrocatalyst for oxygen evolution/reduction reactions and rechargeable Zn–air batteries Journal of Materials Chemistry. 5: 21353-21361. DOI: 10.1039/C7Ta06243E  0.354
2017 Meng X, Wang X, Geng D, Ozgit-Akgun C, Schneider N, Elam JW. Atomic layer deposition for nanomaterial synthesis and functionalization in energy technology Materials Horizons. 4: 133-154. DOI: 10.1039/C6Mh00521G  0.37
2016 Zhao R, Gao Y, Guo Z, Su Y, Wang X. Interface Energy Alignment of Atomic-Layer-Deposited VOx on Pentacene: an In-Situ Photoelectron Spectroscopy Investigation. Acs Applied Materials & Interfaces. PMID 28019095 DOI: 10.1021/Acsami.6B12832  0.398
2016 Lou X, Zhou H, Kim SB, Alghamdi S, Gong X, Feng J, Wang X, Ye PD, Gordon RG. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition. Nano Letters. 16: 7650-7654. PMID 27960444 DOI: 10.1021/Acs.Nanolett.6B03638  0.694
2016 Su Y, Xin C, Feng Y, Lin Q, Wang X, Liang J, Zheng J, Lin Y, Pan F. Band Alignment for Rectification and Tunneling Effects in Al2O3 Atomic-Layer-Deposited on Back Contact for CdTe Solar Cell. Acs Applied Materials & Interfaces. PMID 27661765 DOI: 10.1021/Acsami.6B07421  0.371
2016 Wang X, Guo Z, Gao Y, Wang J. Atomic layer deposition of vanadium oxide thin films from tetrakis(dimethylamino)vanadium precursor Journal of Materials Research. 1-8. DOI: 10.1557/Jmr.2016.303  0.4
2016 Li H, Shao Y, Su Y, Gao Y, Wang X. Vapor-Phase Atomic Layer Deposition of Nickel Sulfide and Its Application for Efficient Oxygen-Evolution Electrocatalysis Chemistry of Materials. 28: 1155-1164. DOI: 10.1021/Acs.Chemmater.5B04645  0.417
2016 Zhang H, Guo Z, Chen Q, Wang X, Wang Z, Liu Z. Deposition of silicon oxide coatings by atmospheric pressure plasma jet for oxygen diffusion barrier applications Thin Solid Films. 615: 63-68. DOI: 10.1016/J.Tsf.2016.06.042  0.339
2016 Gao Y, Shao Y, Yan L, Li H, Su Y, Meng H, Wang X. Metal/Organic Interfaces: Efficient Charge Injection in Organic Field-Effect Transistors Enabled by Low-Temperature Atomic Layer Deposition of Ultrathin VOx Interlayer (Adv. Funct. Mater. 25/2016) Advanced Functional Materials. 26: 4615-4615. DOI: 10.1002/Adfm.201670160  0.357
2016 Gao Y, Shao Y, Yan L, Li H, Su Y, Meng H, Wang X. Efficient Charge Injection in Organic Field-Effect Transistors Enabled by Low-Temperature Atomic Layer Deposition of Ultrathin VOx Interlayer Advanced Functional Materials. DOI: 10.1002/Adfm.201600482  0.398
2015 Su Y, Cui S, Zhuo Z, Yang W, Wang X, Pan F. Enhancing the High-Voltage Cycling Performance of LiNi0.5Mn0.3Co0.2O2 by Retarding its Interfacial Reaction with Electrolyte by Atomic Layer Deposited Al2O3. Acs Applied Materials & Interfaces. PMID 26501963 DOI: 10.1021/Acsami.5B05500  0.391
2015 Li H, Gao Y, Shao Y, Su Y, Wang X. Vapor-Phase Atomic Layer Deposition of Co9S8 and Its Application for Supercapacitors. Nano Letters. PMID 26313009 DOI: 10.1021/Acs.Nanolett.5B02508  0.429
2015 Wang C, Fu Q, Wang X, Kong D, Sheng Q, Wang Y, Chen Q, Xue J. Atomic Layer Deposition Modified Track-Etched Conical Nanochannels for Protein Sensing. Analytical Chemistry. PMID 26202979 DOI: 10.1021/Acs.Analchem.5B01501  0.313
2015 Wang Y, Xia Z, Liang J, Wang X, Liu Y, Liu C, Zhang S, Zhou H. Towards printed perovskite solar cells with cuprous oxide hole transporting layers: A theoretical design Semiconductor Science and Technology. 30. DOI: 10.1088/0268-1242/30/5/054004  0.326
2015 Liang J, Lin Q, Li H, Su Y, Yang X, Wu Z, Zheng J, Wang X, Lin Y, Pan F. Rectification and tunneling effects enabled by Al2O3 atomic layer deposited on back contact of CdTe solar cells Applied Physics Letters. 107. DOI: 10.1063/1.4926601  0.414
2015 Guo Z, Li H, Chen Q, Sang L, Yang L, Liu Z, Wang X. Low-Temperature Atomic Layer Deposition of High Purity, Smooth, Low Resistivity Copper Films by Using Amidinate Precursor and Hydrogen Plasma Chemistry of Materials. 27: 5988-5996. DOI: 10.1021/Acs.Chemmater.5B02137  0.364
2014 Sheng Q, Wang L, Wang C, Wang X, Xue J. Fabrication of nanofluidic diodes with polymer nanopores modified by atomic layer deposition. Biomicrofluidics. 8: 052111. PMID 25332737 DOI: 10.1063/1.4896474  0.368
2013 Wang X, Dong L, Zhang J, Liu Y, Ye PD, Gordon RG. Heteroepitaxy of La2O3 and La(2-x)Y(x)O3 on GaAs (111)A by atomic layer deposition: achieving low interface trap density. Nano Letters. 13: 594-9. PMID 23294262 DOI: 10.1021/Nl3041349  0.666
2013 Wang X, Gordon RG. Smooth, low-resistance, pinhole-free, conformal ruthenium films by pulsed chemical vapor deposition Ecs Journal of Solid State Science and Technology. 2: N41-N44. DOI: 10.1149/2.003303Jss  0.564
2013 Gu JJ, Wang X, Wu H, Gordon RG, Ye PD. Variability improvement by interface passivation and EOT scaling of InGaAs nanowire MOSFETs Ieee Electron Device Letters. 34: 608-610. DOI: 10.1109/Led.2013.2248114  0.512
2013 Si M, Gu JJ, Wang X, Shao J, Li X, Manfra MJ, Gordon RG, Ye PD. Effects of forming gas anneal on ultrathin InGaAs nanowire metal-oxide-semiconductor field-effect transistors Applied Physics Letters. 102. DOI: 10.1063/1.4794846  0.515
2013 Wang X, Gordon RG. High-quality epitaxy of ruthenium dioxide, RuO2, on rutile titanium dioxide, TiO2, by pulsed chemical vapor deposition Crystal Growth and Design. 13: 1316-1321. DOI: 10.1021/Cg301801H  0.54
2012 Son HJ, Wang X, Prasittichai C, Jeong NC, Aaltonen T, Gordon RG, Hupp JT. Glass-encapsulated light harvesters: more efficient dye-sensitized solar cells by deposition of self-aligned, conformal, and self-limited silica layers. Journal of the American Chemical Society. 134: 9537-40. PMID 22650384 DOI: 10.1021/Ja300015N  0.53
2012 Wang X, Saadat OI, Xi B, Lou X, Molnar RJ, Palacios T, Gordon RG. Atomic layer deposition of Sc2O3 for passivating AlGaN/GaN high electron mobility transistor devices Applied Physics Letters. 101. DOI: 10.1063/1.4770071  0.698
2012 Cui Y, Wang X, Zhou Y, Gordon R, Ramanathan S. Synthesis of vanadium dioxide thin films on conducting oxides and metal–insulator transition characteristics Journal of Crystal Growth. 338: 96-102. DOI: 10.1016/J.Jcrysgro.2011.10.025  0.535
Show low-probability matches.