H.-S. Philip Wong - Publications

Affiliations: 
1988-2004 IBM Thomas J. Watson Research Center, Yorktown Heights, NY, United States 
 2004- Electrical Engineering Stanford University, Palo Alto, CA 
Area:
Electrical Engineering
Website:
https://web.stanford.edu/~hspwong/

23/118 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2024 Wu X, Khan AI, Lee H, Hsu CF, Zhang H, Yu H, Roy N, Davydov AV, Takeuchi I, Bao X, Wong HP, Pop E. Novel nanocomposite-superlattices for low energy and high stability nanoscale phase-change memory. Nature Communications. 15: 13. PMID 38253559 DOI: 10.1038/s41467-023-42792-4  0.328
2023 Lin Q, Gilardi C, Su SK, Zhang Z, Chen E, Bandaru P, Kummel A, Radu I, Mitra S, Pitner G, Wong HP. Band-to-Band Tunneling Leakage Current Characterization and Projection in Carbon Nanotube Transistors. Acs Nano. PMID 37910857 DOI: 10.1021/acsnano.3c04346  0.376
2023 Oh IK, Khan AI, Qin S, Lee Y, Wong HP, Pop E, Bent SF. Area-Selective Atomic Layer Deposition for Resistive Random-Access Memory Devices. Acs Applied Materials & Interfaces. PMID 37656599 DOI: 10.1021/acsami.3c05822  0.316
2022 Franklin AD, Hersam MC, Wong HP. Carbon nanotube transistors: Making electronics from molecules. Science (New York, N.Y.). 378: 726-732. PMID 36395207 DOI: 10.1126/science.abp8278  0.357
2022 Sun Z, Pang CS, Wu P, Hung TYT, Li MY, Liew SL, Cheng CC, Wang H, Wong HP, Li LJ, Radu I, Chen Z, Appenzeller J. Statistical Assessment of High-Performance Scaled Double-Gate Transistors from Monolayer WS. Acs Nano. PMID 36094410 DOI: 10.1021/acsnano.2c05902  0.321
2019 Akinwande D, Huyghebaert C, Wang CH, Serna MI, Goossens S, Li LJ, Wong HP, Koppens FHL. Graphene and two-dimensional materials for silicon technology. Nature. 573: 507-518. PMID 31554977 DOI: 10.1038/s41586-019-1573-9  0.638
2019 Desai SB, Fahad HM, Lundberg T, Pitner G, Kim H, Chrzan D, Wong HP, Javey A. Gate Quantum Capacitance Effects in Nanoscale Transistors. Nano Letters. PMID 31532995 DOI: 10.1021/Acs.Nanolett.9B02660  0.767
2019 Bohaichuk SM, Kumar S, Pitner G, McClellan CJ, Jeong J, Samant MG, Wong HP, Parkin SSP, Williams RS, Pop E. Fast Spiking of a Mott VO2-Carbon Nanotube Composite Device. Nano Letters. PMID 31433663 DOI: 10.1021/Acs.Nanolett.9B01554  0.431
2019 Bohaichuk SM, Muñoz Rojo M, Pitner G, McClellan CJ, Lian F, Li J, Jeong J, Samant MG, Parkin SSP, Wong HP, Pop E. Localized Triggering of the Insulator-Metal Transition in VO Using a Single Carbon Nanotube. Acs Nano. PMID 31393698 DOI: 10.1021/Acsnano.9B03397  0.763
2019 Zhou F, Zhou Z, Chen J, Choy TH, Wang J, Zhang N, Lin Z, Yu S, Kang J, Wong HP, Chai Y. Optoelectronic resistive random access memory for neuromorphic vision sensors. Nature Nanotechnology. PMID 31308498 DOI: 10.1038/S41565-019-0501-3  0.691
2019 Lei T, Shao LL, Zheng YQ, Pitner G, Fang G, Zhu C, Li S, Beausoleil R, Wong HP, Huang TC, Cheng KT, Bao Z. Low-voltage high-performance flexible digital and analog circuits based on ultrahigh-purity semiconducting carbon nanotubes. Nature Communications. 10: 2161. PMID 31089127 DOI: 10.1038/S41467-019-10145-9  0.771
2019 Pitner G, Hills G, Llinas JP, Persson KM, Park RS, Bokor J, Mitra S, Wong HP. Low-Temperature Side-Contact to Carbon Nanotube Transistors: Resistance Distributions Down to 10 nm Contact Length. Nano Letters. PMID 30677297 DOI: 10.1021/Acs.Nanolett.8B04370  0.771
2017 Chortos A, Pochorovski I, Lin P, Pitner G, Yan X, Gao TZ, To JWF, Lei T, Will JW, Wong HP, Bao Z. Universal Selective Dispersion of Semiconducting Carbon Nanotubes from Commercial Sources Using a Supramolecular Polymer. Acs Nano. PMID 28528552 DOI: 10.1021/Acsnano.7B01076  0.761
2017 Park RS, Hills G, Sohn J, Mitra S, Shulaker MM, Wong HP. Hysteresis-Free Carbon Nanotube Field-Effect Transistors. Acs Nano. PMID 28463503 DOI: 10.1021/Acsnano.7B01164  0.349
2016 Desai SB, Madhvapathy SR, Sachid AB, Llinas JP, Wang Q, Ahn GH, Pitner G, Kim MJ, Bokor J, Hu C, Wong HP, Javey A. MoS2 transistors with 1-nanometer gate lengths. Science (New York, N.Y.). 354: 99-102. PMID 27846499 DOI: 10.1126/Science.Aah4698  0.763
2016 Chen Z, Li H, Chen HY, Chen B, Liu R, Huang P, Zhang F, Jiang Z, Ye H, Bin Gao, Liu L, Liu X, Kang J, Wong HP, Yu S. Disturbance characteristics of half-selected cells in a cross-point resistive switching memory array. Nanotechnology. 27: 215204. PMID 27094841 DOI: 10.1088/0957-4484/27/21/215204  0.676
2016 Lei T, Chen X, Pitner G, Wong HP, Bao Z. Removable and Recyclable Conjugated Polymers for Highly Selective and High-Yield Dispersion and Release of Low-Cost Carbon Nanotubes. Journal of the American Chemical Society. PMID 26731376 DOI: 10.1021/Jacs.5B12797  0.729
2015 Tian H, Zhao H, Wang XF, Xie QY, Chen HY, Mohammad MA, Li C, Mi WT, Bie Z, Yeh CH, Yang Y, Wong HP, Chiu PW, Ren TL. In Situ Tuning of Switching Window in a Gate-Controlled Bilayer Graphene-Electrode Resistive Memory Device. Advanced Materials (Deerfield Beach, Fla.). PMID 26500160 DOI: 10.1002/Adma.201503125  0.356
2015 Ahn C, Fong SW, Kim Y, Lee S, Sood A, Neumann CM, Asheghi M, Goodson KE, Pop E, Wong HP. Energy-Efficient Phase-Change Memory with Graphene as Thermal Barrier. Nano Letters. PMID 26308280 DOI: 10.1021/Acs.Nanolett.5B02661  0.725
2015 Li L, Chen X, Wang CH, Cao J, Lee S, Tang A, Ahn C, Roy SS, Arnold MS, Wong HP. Vertical and Lateral Cu Transport through Graphene Layers. Acs Nano. PMID 26222951 DOI: 10.1021/Acsnano.5B03038  0.707
2015 Lei T, Pitner G, Chen X, Hong G, Park S, Hayoz P, Weitz RT, Wong HP, Bao Z. Dispersion of High-Purity Semiconducting Arc-Discharged Carbon Nanotubes Using Backbone Engineered Diketopyrrolopyrrole (DPP)-Based Polymers Advanced Electronic Materials. 2: 1500299. DOI: 10.1002/Aelm.201500299  0.756
2012 Zhang J, Lin A, Patil N, Wei H, Wei L, Wong HP, Mitra S. Carbon Nanotube Robust Digital VLSI Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 453-471. DOI: 10.1109/Tcad.2012.2187527  0.307
2011 Chai Y, Wu Y, Takei K, Chen H, Yu S, Chan PCH, Javey A, Wong HP. Nanoscale Bipolar and Complementary Resistive Switching Memory Based on Amorphous Carbon Ieee Transactions On Electron Devices. 58: 3933-3939. DOI: 10.1109/Ted.2011.2164615  0.346
Low-probability matches (unlikely to be authored by this person)
2015 Zhang Z, Gao B, Fang Z, Wang X, Tang Y, Sohn J, Wong HP, Wong SS, Lo G. All-Metal-Nitride RRAM Devices Ieee Electron Device Letters. 36: 29-31. DOI: 10.1109/Led.2014.2367542  0.296
2017 Shulaker MM, Hills G, Park RS, Howe RT, Saraswat K, Wong HP, Mitra S. Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature. 547: 74-78. PMID 28682331 DOI: 10.1038/Nature22994  0.295
2007 Deng J, Wong HP. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part II: Full Device Model and Circuit Performance Benchmarking Ieee Transactions On Electron Devices. 54: 3195-3205. DOI: 10.1109/TED.2007.909043  0.291
2016 Park RS, Shulaker MM, Hills G, Suriyasena Liyanage L, Lee S, Tang A, Mitra PS, Wong HP. Hysteresis in Carbon Nanotube Transistors: Measurement and Analysis of Trap Density, Energy Level, and Spatial Distribution. Acs Nano. PMID 27002483 DOI: 10.1021/Acsnano.6B00792  0.29
2002 Wong HP. Beyond the conventional transistor Ibm Journal of Research and Development. 46: 133-168. DOI: 10.1147/rd.462.0133  0.285
2008 Deng J, Lin A, Wan GC, Wong HP. Carbon nanotube transistor compact model for circuit design and performance optimization Acm Journal On Emerging Technologies in Computing Systems. 4: 1-20. DOI: 10.1145/1350763.1350767  0.282
2021 Wang CH, Chen V, McClellan CJ, Tang A, Vaziri S, Li L, Chen ME, Pop E, Wong HP. Ultrathin Three-Monolayer Tunneling Memory Selectors. Acs Nano. PMID 33944559 DOI: 10.1021/acsnano.1c00002  0.276
2016 Mleczko MJ, Xu RL, Okabe K, Kuo HH, Fisher IR, Wong HP, Nishi Y, Pop E. High Current Density and Low Thermal Conductivity of Atomically Thin Semimetallic WTe2. Acs Nano. PMID 27434729 DOI: 10.1021/Acsnano.6B02368  0.276
2011 Zhang J, Patil NP, Hazeghi A, Wong HP, Mitra S. Characterization and Design of Logic Circuits in the Presence of Carbon Nanotube Density Variations Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1103-1113. DOI: 10.1109/Tcad.2011.2121010  0.275
2012 Liang J, Jeyasingh RGD, Chen H, Wong HP. An Ultra-Low Reset Current Cross-Point Phase Change Memory With Carbon Nanotube Electrodes Ieee Transactions On Electron Devices. 59: 1155-1163. DOI: 10.1109/TED.2012.2184542  0.274
2017 Fong SW, Neumann CM, Yalon E, Rojo MM, Pop E, Wong HP. Dual-Layer Dielectric Stack for Thermally Isolated Low-Energy Phase-Change Memory Ieee Transactions On Electron Devices. 64: 4496-4502. DOI: 10.1109/Ted.2017.2756071  0.273
1995 Wind SJ, Taur Y, Mii Y, Frank DJ, Wong H, Buchanan DA, Rishton SA, Bucchignano JJ, Lii Y, Jenkins KA. Probing the Limits of Silicon-Based Nanoelectronics Mrs Proceedings. 380. DOI: 10.1557/Proc-380-179  0.268
2007 Paul B, Fujita S, Okajima M, Lee T, Wong H, Nishi Y. Impact of a Process Variation on Nanowire and Nanotube Device Performance Ieee Transactions On Electron Devices. 54: 2369-2376. DOI: 10.1109/TED.2007.901882  0.261
2018 Ahn EC, Wong HP, Pop E. Carbon nanomaterials for non-volatile memories Nature Reviews Materials. 3. DOI: 10.1038/Natrevmats.2018.9  0.259
2007 Deng J, Wong HP. A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region Ieee Transactions On Electron Devices. 54: 3186-3194. DOI: 10.1109/TED.2007.909030  0.241
2009 Akinwande D, Nishi Y, Wong H. Carbon Nanotube Quantum Capacitance for Nonlinear Terahertz Circuits Ieee Transactions On Nanotechnology. 8: 31-36. DOI: 10.1109/Tnano.2008.2005185  0.241
2019 Neumann CM, Okabe KL, Yalon E, Grady RW, Wong HP, Pop E. Engineering thermal and electrical interface properties of phase change memory with monolayer MoS2 Applied Physics Letters. 114: 082103. DOI: 10.1063/1.5080959  0.24
2019 Okabe KL, Sood A, Yalon E, Neumann CM, Asheghi M, Pop E, Goodson KE, Wong HP. Understanding the switching mechanism of interfacial phase change memory Journal of Applied Physics. 125: 184501. DOI: 10.1063/1.5093907  0.235
2007 Akinwande D, Wong HP. A Composite Circuit Model for NDR Devices in Random Access Memory Cells Ieee Transactions On Electron Devices. 54: 776-783. DOI: 10.1109/TED.2007.892356  0.235
2019 Chen X, Lin A, Wei L, Patil N, Wei H, Chen H, Mitra S, Wong HP. Carbon-Based Nanomaterial for Nanoelectronics Ecs Transactions. 35: 259-269. DOI: 10.1149/1.3569919  0.234
2017 Yao P, Wu H, Gao B, Eryilmaz SB, Huang X, Zhang W, Zhang Q, Deng N, Shi L, Wong HP, Qian H. Face classification using electronic synapses. Nature Communications. 8: 15199. PMID 28497781 DOI: 10.1038/Ncomms15199  0.233
2022 Wan W, Kubendran R, Schaefer C, Eryilmaz SB, Zhang W, Wu D, Deiss S, Raina P, Qian H, Gao B, Joshi S, Wu H, Wong HP, Cauwenberghs G. A compute-in-memory chip based on resistive random-access memory. Nature. 608: 504-512. PMID 35978128 DOI: 10.1038/s41586-022-04992-8  0.233
2022 Khan AI, Wu X, Perez C, Won B, Kim K, Ramesh P, Kwon H, Tung MC, Lee Z, Oh IK, Saraswat K, Asheghi M, Goodson KE, Wong HP, Pop E. Unveiling the Effect of Superlattice Interfaces and Intermixing on Phase Change Memory Performance. Nano Letters. PMID 35876819 DOI: 10.1021/acs.nanolett.2c01869  0.232
2013 Park J, Oh S, Kim S, Wong HP, Wong SS. Impact of III–V and Ge Devices on Circuit Performance Ieee Transactions On Very Large Scale Integration Systems. 21: 1189-1200. DOI: 10.1109/Tvlsi.2012.2210450  0.231
2010 Kobayashi M, Irisawa T, Magyari-Kope B, Saraswat K, Wong HP, Nishi Y. Uniaxial Stress Engineering for High-Performance Ge NMOSFETs Ieee Transactions On Electron Devices. 57: 1037-1046. DOI: 10.1109/Ted.2010.2042767  0.231
2021 Tang A, Kumar A, Jaikissoon M, Saraswat K, Wong HP, Pop E. Toward Low-Temperature Solid-Source Synthesis of Monolayer MoS. Acs Applied Materials & Interfaces. PMID 34427445 DOI: 10.1021/acsami.1c06812  0.229
2011 Yu S, Wu Y, Jeyasingh R, Kuzum D, Wong HP. An Electronic Synapse Device Based on Metal Oxide Resistive Switching Memory for Neuromorphic Computation Ieee Transactions On Electron Devices. 58: 2729-2737. DOI: 10.1109/TED.2011.2147791  0.228
2019 Li L, Zhu Z, Yoon A, Wong HP. In-Situ Grown Graphene Enabled Copper Interconnects With Improved Electromigration Reliability Ieee Electron Device Letters. 40: 815-817. DOI: 10.1109/LED.2019.2908426  0.226
2011 Lee B, Wong HP. Fabrication and Characterization of Nanoscale NiO Resistance Change Memory (RRAM) Cells With Confined Conduction Paths Ieee Transactions On Electron Devices. 58: 3270-3275. DOI: 10.1109/TED.2011.2161311  0.225
2016 Li L, Engel M, Farmer DB, Han SJ, Wong HP. High Performance P-type Black Phosphorus Transistor with Scandium Contact. Acs Nano. PMID 27023751 DOI: 10.1021/Acsnano.6B01008  0.224
2019 Akarvardar K, Wong HP. Nanoelectromechanical Logic and Memory Devices Ecs Transactions. 19: 49-59. DOI: 10.1149/1.3118930  0.221
2018 Wu TF, Li H, Huang P, Rahimi A, Hills G, Hodson B, Hwang W, Rabaey JM, Wong HP, Shulaker MM, Mitra S. Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration Ieee Journal of Solid-State Circuits. 53: 3183-3196. DOI: 10.1109/Jssc.2018.2870560  0.221
2018 Wang CH, Incorvia JAC, McClellan CJ, Yu AC, Mleczko MJ, Pop E, Wong HP. Unipolar N-type Black Phosphorus Transistors with Low Work Function Contacts. Nano Letters. PMID 29620900 DOI: 10.1021/Acs.Nanolett.7B05192  0.22
2017 Yuan F, Zhang Z, Liu C, Zhou F, Yau HM, Lu W, Qiu X, Wong HP, Dai J, Chai Y. Real-Time Observation of the Electrode-Size-Dependent Evolution Dynamics of the Conducting Filaments in a SiO2 Layer. Acs Nano. PMID 28319363 DOI: 10.1021/Acsnano.7B00783  0.219
2008 Akinwande D, Nishi Y, Wong HP. An Analytical Derivation of the Density of States, Effective Mass, and Carrier Density for Achiral Carbon Nanotubes Ieee Transactions On Electron Devices. 55: 289-297. DOI: 10.1109/Ted.2007.911078  0.216
2012 Chen X, Seo DH, Seo S, Chung H, Wong HP. Graphene Interconnect Lifetime: A Reliability Analysis Ieee Electron Device Letters. 33: 1604-1606. DOI: 10.1109/LED.2012.2211564  0.214
2008 Liang J, Akinwande D, Wong HP. Carrier density and quantum capacitance for semiconducting carbon nanotubes Journal of Applied Physics. 104: 064515. DOI: 10.1063/1.2986216  0.214
2013 Oh S, Wong H. Technology projections of III–V devices down to 11 nm: importance of electrostatics and series resistance Electronics Letters. 49: 832-833. DOI: 10.1049/EL.2013.0505  0.209
2018 Wang Z, Kumar S, Nishi Y, Wong HP. Transient dynamics of NbOx threshold switches explained by Poole-Frenkel based thermal feedback mechanism Applied Physics Letters. 112: 193503. DOI: 10.1063/1.5027152  0.208
2007 Deng J, Kim K, Chuang C, Wong HP. The Impact of Device Footprint Scaling on High-Performance CMOS Logic Technology Ieee Transactions On Electron Devices. 54: 1148-1155. DOI: 10.1109/TED.2007.894596  0.206
2009 Akinwande D, Patil N, Lin A, Nishi Y, Wong HP. Surface Science of Catalyst Dynamics for Aligned Carbon Nanotube Synthesis on a Full-Scale Quartz Wafer The Journal of Physical Chemistry C. 113: 8002-8008. DOI: 10.1021/Jp810794Y  0.199
2009 Akarvardar K, Wong H. Ultralow Voltage Crossbar Nonvolatile Memory Based on Energy-Reversible NEM Switches Ieee Electron Device Letters. 30: 626-628. DOI: 10.1109/LED.2009.2018289  0.198
2020 Chen TA, Chuu CP, Tseng CC, Wen CK, Wong HP, Pan S, Li R, Chao TA, Chueh WC, Zhang Y, Fu Q, Yakobson BI, Chang WH, Li LJ. Wafer-scale single-crystal hexagonal boron nitride monolayers on Cu (111). Nature. PMID 32132712 DOI: 10.1038/S41586-020-2009-2  0.196
2011 Jeyasingh RGD, Kuzum D, Wong HP. Investigation of Trap Spacing for the Amorphous State of Phase-Change Memory Devices Ieee Transactions On Electron Devices. 58: 4370-4376. DOI: 10.1109/TED.2011.2169798  0.182
2018 Seo S, Jo SH, Kim S, Shim J, Oh S, Kim JH, Heo K, Choi JW, Choi C, Oh S, Kuzum D, Wong HP, Park JH. Artificial optic-neural synapse for colored and color-mixed pattern recognition. Nature Communications. 9: 5106. PMID 30504804 DOI: 10.1038/s41467-018-07572-5  0.182
2011 Oh S, Wong HP. Physics-Based Compact Model for III–V Digital Logic FETs Including Gate Tunneling Leakage and Parasitic Capacitance Ieee Transactions On Electron Devices. 58: 1068-1075. DOI: 10.1109/TED.2011.2105875  0.181
2011 Yu S, Guan X, Wong HP. Conduction mechanism of TiN/HfOx/Pt resistive switching memory: A trap-assisted-tunneling model Applied Physics Letters. 99: 063507. DOI: 10.1063/1.3624472  0.181
2007 Deng J, Ghosh K, Wong HP. Modeling Carbon Nanotube Sensors Ieee Sensors Journal. 7: 1356-1357. DOI: 10.1109/JSEN.2007.905039  0.181
2015 Feng Y, Zhang K, Wang F, Liu Z, Fang M, Cao R, Miao Y, Yang ZC, Mi W, Han Y, Song Z, Wong HP. Synthesis of Large Area Highly Crystalline Monolayer Molybdenum Disulfide with Tunable Grain Size in H2 Atmosphere. Acs Applied Materials & Interfaces. PMID 26393528 DOI: 10.1021/acsami.5b07038  0.18
2023 Song MK, Kang JH, Zhang X, Ji W, Ascoli A, Messaris I, Demirkol AS, Dong B, Aggarwal S, Wan W, Hong SM, Cardwell SG, Boybat I, Seo JS, Lee JS, ... ... Wong HP, et al. Recent Advances and Future Prospects for Memristive Materials, Devices, and Systems. Acs Nano. PMID 37382380 DOI: 10.1021/acsnano.3c03505  0.18
2012 Wong HP, Lee H, Yu S, Chen Y, Wu Y, Chen P, Lee B, Chen FT, Tsai M. Metal–Oxide RRAM Proceedings of the Ieee. 100: 1951-1970. DOI: 10.1109/JPROC.2012.2190369  0.175
2010 Liang J, Wong HP. Cross-Point Memory Array Without Cell Selectors—Device Characteristics and Data Storage Pattern Dependencies Ieee Transactions On Electron Devices. 57: 2531-2538. DOI: 10.1109/TED.2010.2062187  0.173
2009 Oh S, Wong HP. Effect of Parasitic Resistance and Capacitance on Performance of InGaAs HEMT Digital Logic Circuits Ieee Transactions On Electron Devices. 56: 1161-1164. DOI: 10.1109/TED.2009.2016027  0.17
2011 Yu S, Wu Y, Wong HP. Investigating the switching dynamics and multilevel capability of bipolar metal oxide resistive switching memory Applied Physics Letters. 98: 103514. DOI: 10.1063/1.3564883  0.167
2012 Kuzum D, Jeyasingh RGD, Yu S, Wong HP. Low-Energy Robust Neuromorphic Computation Using Synaptic Devices Ieee Transactions On Electron Devices. 59: 3489-3494. DOI: 10.1109/TED.2012.2217146  0.166
2021 Khan AI, Daus A, Islam R, Neilson KM, Lee HR, Wong HP, Pop E. Ultralow-switching current density multilevel phase-change memory on a flexible substrate. Science (New York, N.Y.). 373: 1243-1247. PMID 34516795 DOI: 10.1126/science.abj1261  0.166
2012 Guan X, Yu S, Wong HP. A SPICE Compact Model of Metal Oxide Resistive Switching Memory With Variations Ieee Electron Device Letters. 33: 1405-1407. DOI: 10.1109/LED.2012.2210856  0.163
2016 Fong SW, Sood A, Chen L, Kumari N, Asheghi M, Goodson KE, Gibson GA, Wong HP. Thermal conductivity measurement of amorphous dielectric multilayers for phase-change memory power reduction Journal of Applied Physics. 120: 015103. DOI: 10.1063/1.4955165  0.162
2020 Khan AI, Kwon H, Islam R, Perez C, Chen ME, Asheghi M, Goodson KE, Wong HP, Pop E. Two-Fold Reduction of Switching Current Density in Phase Change Memory Using Bi₂Te₃ Thermoelectric Interfacial Layer Ieee Electron Device Letters. 41: 1657-1660. DOI: 10.1109/LED.2020.3028271  0.162
2021 Yang MX, Hu X, Akin D, Poon A, Wong HP. Intracellular detection and communication of a wireless chip in cell. Scientific Reports. 11: 5967. PMID 33727598 DOI: 10.1038/s41598-021-85268-5  0.161
2016 Zalden P, Shu MJ, Chen F, Wu X, Zhu Y, Wen H, Johnston S, Shen ZX, Landreman P, Brongersma M, Fong SW, Wong HP, Sher MJ, Jost P, Kaes M, et al. Picosecond Electric-Field-Induced Threshold Switching in Phase-Change Materials. Physical Review Letters. 117: 067601. PMID 27541475 DOI: 10.1103/Physrevlett.117.067601  0.161
2012 Yu S, Guan X, Wong HP. On the Switching Parameter Variation of Metal Oxide RRAM—Part II: Model Corroboration and Device Design Strategy Ieee Transactions On Electron Devices. 59: 1183-1188. DOI: 10.1109/TED.2012.2184544  0.157
2019 Yang R, Li H, Smithe KKH, Kim TR, Okabe K, Pop E, Fan JA, Wong HP. Ternary content-addressable memory with MoS2 transistors for massively parallel data search Nature Electronics. 2: 108-114. DOI: 10.1038/s41928-019-0220-7  0.157
2011 Oh S, Wong HP. Viability Study of All-III–V SRAM for Beyond-22-nm Logic Circuits Ieee Electron Device Letters. 32: 877-879. DOI: 10.1109/LED.2011.2148092  0.154
2016 Xu X, Hu X, Chen X, Kang Y, Zhang Z, Baghbani Parizi K, Wong HP. Engineering large scale indium nanodot array for refractive index sensing. Acs Applied Materials & Interfaces. PMID 27804293 DOI: 10.1021/acsami.6b11413  0.152
2023 Zhao J, Khan AI, Efremov MY, Ye Z, Wu X, Kim K, Lee Z, Wong HP, Pop E, Allen LH. Probing the Melting Transitions in Phase-Change Superlattices via Thin Film Nanocalorimetry. Nano Letters. PMID 37171275 DOI: 10.1021/acs.nanolett.3c01049  0.149
2017 Wang Z, Jiang Z, Zheng X, Fong S, Chen H, Wong HP, Nishi Y. Ultrafast Accelerated Retention Test Methodology for RRAM Using Micro Thermal Stage Ieee Electron Device Letters. 38: 863-866. DOI: 10.1109/Led.2017.2700398  0.148
2009 Oh S, Wong HP. A Physics-Based Compact Model of III–V FETs for Digital Logic Applications: Current–Voltage and Capacitance–Voltage Characteristics Ieee Transactions On Electron Devices. 56: 2917-2924. DOI: 10.1109/TED.2009.2033411  0.142
2015 Sabry Aly MM, Gao M, Hills G, Lee C, Pitner G, Shulaker MM, Wu TF, Asheghi M, Bokor J, Franchetti F, Goodson KE, Kozyrakis C, Markov I, Olukotun K, Pileggi L, ... ... Wong HP, et al. Energy-Efficient Abundant-Data Computing: The N3XT 1,000x Computer. 48: 24-33. DOI: 10.1109/Mc.2015.376  0.137
2018 Parizi KB, Akin D, Wong HP. Internalization of subcellular-scale microfabricated chips by healthy and cancer cells. Plos One. 13: e0194712. PMID 29601607 DOI: 10.1371/journal.pone.0194712  0.135
2021 Wang Y, Landreman P, Schoen D, Okabe K, Marshall A, Celano U, Wong HP, Park J, Brongersma ML. Electrical tuning of phase-change antennas and metasurfaces. Nature Nanotechnology. PMID 33875869 DOI: 10.1038/s41565-021-00882-8  0.132
2016 Guo Y, Liu C, Yin Q, Wei C, Lin S, Hoffman TB, Zhao Y, Edgar JH, Chen Q, Lau SP, Dai J, Yao H, Wong HP, Chai Y. Distinctive In-Plane Cleavage Behaviors of Two-Dimensional Layered Materials. Acs Nano. PMID 27564525 DOI: 10.1021/Acsnano.6B05063  0.127
2017 Fong SW, Neumann CM, Wong HP. Phase-Change Memory—Towards a Storage-Class Memory Ieee Transactions On Electron Devices. 64: 4374-4385. DOI: 10.1109/TED.2017.2746342  0.114
2012 Yu S, Jeyasingh R, Wu Y, Wong HP. Characterization of low-frequency noise in the resistive switching of transition metal oxide HfO2 Physical Review B. 85. DOI: 10.1103/PHYSREVB.85.045324  0.109
2022 Yu Z, Saini B, Liu Y, Huang F, Mehta A, Baniecki JD, Wong HP, Tsai W, McIntyre PC. Nanocrystallite Seeding of Metastable Ferroelectric Phase Formation in Atomic Layer-Deposited Hafnia-Zirconia Alloys. Acs Applied Materials & Interfaces. PMID 36384298 DOI: 10.1021/acsami.2c15047  0.109
2018 Shi Y, Liang X, Yuan B, Chen V, Li H, Hui F, Yu Z, Yuan F, Pop E, Wong HP, Lanza M. Electronic synapses made of layered two-dimensional materials Nature Electronics. 1: 458-465. DOI: 10.1038/s41928-018-0118-9  0.108
2019 Barré E, Incorvia JAC, Kim SH, McClellan CJ, Pop E, Wong HP, Heinz TF. Spatial Separation of Carrier Spin by the Valley Hall Effect in Monolayer WSe Transistors. Nano Letters. PMID 30601667 DOI: 10.1021/Acs.Nanolett.8B03838  0.103
2009 Akarvardar K, Wong H. Analog Nanoelectromechanical Relay With Tunable Transconductance Ieee Electron Device Letters. 30: 1143-1145. DOI: 10.1109/LED.2009.2030751  0.103
2012 Guan X, Yu S, Wong HP. On the Switching Parameter Variation of Metal-Oxide RRAM—Part I: Physical Modeling and Simulation Methodology Ieee Transactions On Electron Devices. 59: 1172-1182. DOI: 10.1109/TED.2012.2184545  0.099
2021 Radway RM, Bartolo A, Jolly PC, Khan ZF, Le BQ, Tandon P, Wu TF, Xin Y, Vianello E, Vivet P, Nowak E, Wong HP, Aly MMS, Beigne E, Wootters M, et al. Illusion of large on-chip memory by networked computing chips for neural network inference Nature Electronics. 4: 71-80. DOI: 10.1038/s41928-020-00515-3  0.098
2010 Wu Y, Lee B, Wong HP. $\hbox{Al}_{2}\hbox{O}_{3}$-Based RRAM Using Atomic Layer Deposition (ALD) With 1-$\mu\hbox{A}$ RESET Current Ieee Electron Device Letters. 31: 1449-1451. DOI: 10.1109/LED.2010.2074177  0.095
2020 Zarcone RV, Engel JH, Eryilmaz SB, Wan W, Kim S, BrightSky M, Lam C, Lung HL, Olshausen BA, Wong HP. Author Correction: Analog Coding in Emerging Memory Systems. Scientific Reports. 10: 13404. PMID 32747716 DOI: 10.1038/S41598-020-70121-Y  0.094
2019 Zhao Y, Huang P, Zhou Z, Liu C, Qin S, Liu L, Liu X, Wong HP, Kang J. A Physics-Based Compact Model for CBRAM Retention Behaviors Based on Atom Transport Dynamics and Percolation Theory Ieee Electron Device Letters. 40: 647-650. DOI: 10.1109/LED.2019.2901754  0.092
2011 Wei L, Oh S, Wong HP. Technology Assessment Methodology for Complementary Logic Applications Based on Energy–Delay Optimization Ieee Transactions On Electron Devices. 58: 2430-2439. DOI: 10.1109/TED.2011.2157349  0.08
2016 Karageorgos I, Ryckaert J, Gronheid R, Tung MC, Wong HP, Karageorgos E, Croes K, Bekaert J, Vandenberghe G, Stucchi M, Dehaene W. Design method and algorithms for directed self-assembly aware via layout decomposition in sub-7 nm circuits Journal of Micro/Nanolithography, Mems, and Moems. 15: 043506. DOI: 10.1117/1.JMM.15.4.043506  0.079
2020 Wong HP, Akarvardar K, Antoniadis D, Bokor J, Hu C, King-Liu T, Mitra S, Plummer JD, Salahuddin S. A Density Metric for Semiconductor Technology [Point of View] Proceedings of the Ieee. 108: 478-482. DOI: 10.1109/jproc.2020.2981715  0.078
1995 Taur Y, Mii Y, Frank DJ, Wong H, Buchanan DA, Wind SJ, Rishton SA, Sai-Halasz GA, Nowak EJ. CMOS scaling into the 21st century: 0.1 µm and beyond Ibm Journal of Research and Development. 39: 245-260. DOI: 10.1147/Rd.391.0245  0.071
2019 Johnston SR, Ng E, Fong SW, Mok WY, Park J, Zalden P, Sakdinawat A, Wong HP, Mabuchi H, Shen Z. Scanning microwave imaging of optically patterned Ge2Sb2Te5 Applied Physics Letters. 114: 093106. DOI: 10.1063/1.5052018  0.071
2020 Jiang Z, Wang Z, Zheng X, Fong SW, Qin S, Chen H, Ahn EC, Cao J, Nishi Y, Wong SS, Wong HP. Bidirectional Analog Conductance Modulation for RRAM-Based Neural Networks Ieee Transactions On Electron Devices. 67: 4904-4910. DOI: 10.1109/TED.2020.3025849  0.067
2010 Yu S, Wong HP. A Phenomenological Model for the Reset Mechanism of Metal Oxide RRAM Ieee Electron Device Letters. 31: 1455-1457. DOI: 10.1109/LED.2010.2078794  0.06
2009 Raoux S, Cheng H, Caldwell MA, Wong HP. Crystallization times of Ge–Te phase change materials as a function of composition Applied Physics Letters. 95: 071910. DOI: 10.1063/1.3212732  0.053
2019 Li MY, Su SK, Wong HP, Li LJ. How 2D semiconductors could extend Moore's law. Nature. 567: 169-170. PMID 30862924 DOI: 10.1038/d41586-019-00793-8  0.05
2007 Chen P, Wang B, Wong H, Huang D. Prediction of protein B-factors using multi-class bounded SVM. Protein and Peptide Letters. 14: 185-190. PMID 17305606 DOI: 10.2174/092986607779816078  0.046
2017 Theis TN, Wong HP. The End of Moore's Law: A New Beginning for Information Technology Computing in Science & Engineering. 19: 41-50. DOI: 10.1109/MCSE.2017.29  0.034
2008 Yu L, Wu WK, Li ZJ, Wong HP, Tai EK, Li HT, Wu YC, Cho CH. E series of prostaglandin receptor 2-mediated activation of extracellular signal-regulated kinase/activator protein-1 signaling is required for the mitogenic action of prostaglandin E2 in esophageal squamous-cell carcinoma. The Journal of Pharmacology and Experimental Therapeutics. 327: 258-67. PMID 18583546 DOI: 10.1124/jpet.108.141275  0.025
Hide low-probability matches.