Beayna Grigorian, Ph.D. - Publications

Affiliations: 
2014 Computer Science University of California, Los Angeles, Los Angeles, CA 
Area:
processor architecture design and optimization; speculative execution; profile-guided optimization; finding and exploiting instruction-level parallelism

10 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2015 Grigorian B, Reinman G. Accelerating divergent applications on simd architectures using neural networks Acm Transactions On Architecture and Code Optimization. 12. DOI: 10.1145/2717311  0.639
2015 Grigorian B, Farahpour N, Reinman G. BRAINIAC: Bringing reliable accuracy into neurally-implemented approximate computing 2015 Ieee 21st International Symposium On High Performance Computer Architecture, Hpca 2015. 615-626. DOI: 10.1109/HPCA.2015.7056067  0.667
2014 Srinivasa N, Zhang D, Grigorian B. A robust and scalable neuromorphic communication system by combining synaptic time multiplexing and MIMO-OFDM. Ieee Transactions On Neural Networks and Learning Systems. 25: 585-608. PMID 24807453 DOI: 10.1109/Tnnls.2013.2280126  0.422
2014 Cong J, Grigorian B, Ghodrat MA, Gururaj K, Gill M, Reinman G. Accelerator-rich architectures: Opportunities and progresses Proceedings - Design Automation Conference. DOI: 10.1145/2593069.2596667  0.675
2014 Cong J, Ghodrat MA, Gill M, Grigorian B, Reinman G. Architecture support for domain-specific accelerator-rich CMPs Transactions On Embedded Computing Systems. 13. DOI: 10.1145/2584664  0.685
2014 Grigorian B, Reinman G. Dynamically adaptive and reliable approximate computing using light-weight error analysis Proceedings of the 2014 Nasa/Esa Conference On Adaptive Hardware and Systems, Ahs 2014. 248-255. DOI: 10.1109/AHS.2014.6880184  0.615
2013 Cong J, Ghodrat MA, Gill M, Grigorian B, Huang H, Reinman G. Composable accelerator-rich microprocessor enhanced for adaptivity and longevity Proceedings of the International Symposium On Low Power Electronics and Design. 305-310. DOI: 10.1109/ISLPED.2013.6629314  0.66
2012 Cong J, Ghodrat MA, Gill M, Grigorian B, Reinman G. CHARM: A composable heterogeneous accelerator-rich microprocessor Proceedings of the International Symposium On Low Power Electronics and Design. 379-384. DOI: 10.1145/2333660.2333747  0.647
2012 Cong J, Ghodrat MA, Gill M, Grigorian B, Reinman G. Architecture support for accelerator-rich CMPs Proceedings - Design Automation Conference. 843-849. DOI: 10.1145/2228360.2228512  0.679
2011 Cong J, Grigorian B, Reinman G, Vitanza M. Accelerating vision and navigation applications on a customizable platform Proceedings of the International Conference On Application-Specific Systems, Architectures and Processors. 25-32. DOI: 10.1109/ASAP.2011.6043233  0.677
Show low-probability matches.