Tsung-Ching ( Huang, Ph.D. - Publications

Affiliations: 
2009 Electrical & Computer Engineering University of California, Santa Barbara, Santa Barbara, CA, United States 
Area:
Computer Engineering

12 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Huang T, Lei T, Shao L, Sivapurapu S, Swaminathan M, Bao Z, Cheng K, Beausoleil R. Process design kit and design automation for flexible hybrid electronics Journal of the Society For Information Display. 28: 241-251. DOI: 10.1002/Jsid.876  0.676
2019 Huang T, Lei T, Shao L, Sivapurapu S, Swaminathan M, Bao Z, Cheng K, Beausoleil R. Process Design Kit and Design Automation for Flexible Hybrid Electronics Journal of Microelectronics and Electronic Packaging. 16: 117-123. DOI: 10.4071/Imaps.925849  0.701
2019 Sivapurapu S, Swaminathan M, Chen R, Mehta C, Zhou Y, Bellaredj MLF, Jia X, Kohl PA, Huang T, Sitaraman SK. Multi-Physics Modeling and Characterization of Components on Flexible Substrates Ieee Transactions On Components, Packaging and Manufacturing Technology. 9: 1730-1740. DOI: 10.1109/Tcpmt.2019.2931452  0.358
2019 Shao L, Lei T, Huang T, Li S, Chu T, Wong M, Beausoleil R, Bao Z, Cheng K. Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design Ieee Design & Test of Computers. 36: 6-14. DOI: 10.1109/Mdat.2019.2899058  0.687
2012 Yokota T, Sekitani T, Tokuhara T, Take N, Zschieschang U, Klauk H, Takimiya K, Huang T, Takamiya M, Sakurai T, Someya T. Sheet-Type Flexible Organic Active Matrix Amplifier System Using Pseudo-CMOS Circuits With Floating-Gate Structure Ieee Transactions On Electron Devices. 59: 3434-3441. DOI: 10.1109/Ted.2012.2220853  0.374
2012 Ishida K, Huang T, Honda K, Sekitani T, Nakajima H, Maeda H, Takamiya M, Someya T, Sakurai T. A 100-V AC Energy Meter Integrating 20-V Organic CMOS Digital and Analog Circuits With a Floating Gate for Process Variation Compensation and a 100-V Organic pMOS Rectifier Ieee Journal of Solid-State Circuits. 47: 301-309. DOI: 10.1109/Jssc.2011.2170634  0.393
2011 Huang T, Fukuda K, Lo C, Yeh Y, Sekitani T, Someya T, Cheng K. Pseudo-CMOS: A Design Style for Low-Cost and Robust Flexible Electronics Ieee Transactions On Electron Devices. 58: 141-150. DOI: 10.1109/Ted.2010.2088127  0.72
2011 Huang T, Huang J, Cheng K. Robust Circuit Design for Flexible Electronics Ieee Design & Test of Computers. 28: 8-15. DOI: 10.1109/Mdt.2011.74  0.713
2011 Fukuda K, Sekitani T, Yokota T, Kuribara K, Huang T, Sakurai T, Zschieschang U, Klauk H, Ikeda M, Kuwabara H, Yamamoto T, Takimiya K, Cheng K, Someya T. Organic Pseudo-CMOS Circuits for Low-Voltage Large-Gain High-Speed Operation Ieee Electron Device Letters. 32: 1448-1450. DOI: 10.1109/Led.2011.2161747  0.629
2009 Cheng K(, Huang T. What is flexible electronics Acm Sigda Newsletter. 39: 1-1. DOI: 10.1145/1862894.1862895  0.667
2009 Huang T, Cheng K. Design for Low Power and Reliable Flexible Electronics: Self-Tunable Cell-Library Design Ieee\/Osa Journal of Display Technology. 5: 206-215. DOI: 10.1109/Jdt.2008.2010273  0.703
2008 Huang T, Cheng K(, Tseng H, Kung C. Reliability analysis for flexible electronics: Case study of integrated a-Si:H TFT scan driver Acm Journal On Emerging Technologies in Computing Systems. 4: 12. DOI: 10.1145/1389089.1389092  0.684
Show low-probability matches.