Chun-Yen Chang - Publications

Affiliations: 
Horticulture and Landscape Architecture National Taiwan University, Taipei, Taipei City, Taiwan 

174 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2023 Jiang X, Hu Y, Larsen L, Chang CY, Sullivan WC. Impacts of urban green infrastructure on attentional functioning: insights from an fMRI study. Frontiers in Psychology. 14: 1047993. PMID 37287788 DOI: 10.3389/fpsyg.2023.1047993  0.439
2022 Yeh YC, Yeh A, Hung SH, Wu CC, Tung YH, Liu SY, Sullivan WC, Chang CY. An Application for Pairing with Wearable Devices to Monitor Personal Health Status. Journal of Visualized Experiments : Jove. PMID 35188119 DOI: 10.3791/63169  0.463
2021 Tsai YP, Hung SH, Huang TR, Sullivan WC, Tang SA, Chang CY. What part of the brain is involved in graphic design thinking in landscape architecture? Plos One. 16: e0258413. PMID 34941895 DOI: 10.1371/journal.pone.0258413  0.424
2020 Suppakittpaisarn P, Chang C, Deal B, Larsen L, Sullivan WC. Does vegetation density and perceptions predict green stormwater infrastructure preference Urban Forestry & Urban Greening. 55: 126842. DOI: 10.1016/J.Ufug.2020.126842  0.509
2019 Cheng C, Fan C, Tu C, Hsu H, Chang C. Implementation of Dopant-Free Hafnium Oxide Negative Capacitance Field-Effect Transistor Ieee Transactions On Electron Devices. 66: 825-828. DOI: 10.1109/Ted.2018.2881099  0.318
2017 Sullivan WC, Chang CY. Landscapes and Human Health. International Journal of Environmental Research and Public Health. 14. PMID 29019914 DOI: 10.3390/Ijerph14101212  0.423
2017 Tzou AJ, Chu KH, Lin IF, Østreng E, Fang YS, Wu XP, Wu BW, Shen CH, Shieh JM, Yeh WK, Chang CY, Kuo HC. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition. Nanoscale Research Letters. 12: 315. PMID 28454481 DOI: 10.1186/S11671-017-2082-0  0.357
2017 Tzou A, Hsieh D, Hong K, Lin D, Huang J, Chen T, Kao T, Chen Y, Lu T, Chen C, Kuo H, Chang C. High-Efficiency InGaN/GaN Core–Shell Nanorod Light-Emitting Diodes With Low-Peak Blueshift and Efficiency Droop Ieee Transactions On Nanotechnology. 16: 355-358. DOI: 10.1109/Tnano.2016.2642146  0.317
2017 Yen S, Cheng C, Fan C, Chiu Y, Hsu H, Lan Y, Chang C. Investigation of Double-Snapback Characteristic in Resistor-Triggered SCRs Stacking Structure Ieee Transactions On Electron Devices. 64: 4200-4205. DOI: 10.1109/Ted.2017.2736511  0.302
2017 Tang I, Tsai Y, Lin Y, Chen J, Hsieh C, Hung S, Sullivan WC, Tang H, Chang C. Using functional Magnetic Resonance Imaging (fMRI) to analyze brain region activity when viewing landscapes Landscape and Urban Planning. 162: 137-144. DOI: 10.1016/J.Landurbplan.2017.02.007  0.497
2016 Tzou AJ, Lin DW, Yu CR, Li ZY, Liao YK, Lin BC, Huang JK, Lin CC, Kao TS, Kuo HC, Chang CY. High-performance InGaN-based green light-emitting diodes with quaternary InAlGaN/GaN superlattice electron blocking layer. Optics Express. 24: 11387-11395. PMID 27410067 DOI: 10.1364/Oe.24.011387  0.313
2016 Liu CY, Huang CY, Wu PY, Huang JK, Kao TS, Zhou AJ, Lin DW, Wu YCS, Chang CY, Kuo HC. High-performance ultraviolet 385-nm GaN-based LEDs with embedded nanoscale air voids produced through atomic layer deposition and Al2O3 passivation Ieee Electron Device Letters. 37: 452-455. DOI: 10.1109/Led.2016.2532352  0.313
2016 Hsu CY, Chang CY, Chang EY, Hu C. Suppressing non-uniform tunneling in InAs/GaSb TFET with dual-metal gate Ieee Journal of the Electron Devices Society. 4: 60-65. DOI: 10.1109/Jeds.2015.2514060  0.302
2016 Yen SS, Chiu YC, Cheng CH, Chen PC, Yeh YC, Tung CH, Hsu HH, Chang CY. Gettering Effect Induced by Oxygen-Deficient Titanium Oxide in InZnO and InGaZnO Channel Systems for Low-Power Display Applications Journal of Display Technology. 12: 219-223. DOI: 10.1109/Jdt.2015.2457425  0.332
2015 Tang IC, Sullivan WC, Chang CY. Perceptual Evaluation of Natural Landscapes: The Role of the Individual Connection to Nature Environment and Behavior. 47: 595-617. DOI: 10.1177/0013916513520604  0.496
2015 Tzou AJ, Lin BC, Lee CY, Lin DW, Liao YK, Li ZY, Chi GC, Kuo HC, Chang CY. Efficiency droop behavior improvement through barrier thickness modification for GaN-on-silicon light-emitting diodes Journal of Photonics For Energy. 5. DOI: 10.1117/1.Jpe.5.057604  0.347
2015 Cheng Y, Chen H, Su J, Shao C, Thirunavukkarasu V, Chang C, Wu Y. Characteristics of a Novel Poly-Si P-Channel Junctionless Thin-Film Transistor With Hybrid P/N-Substrate Ieee Electron Device Letters. 36: 159-161. DOI: 10.1109/Led.2014.2379673  0.324
2015 Hsu HH, Cheng CH, Chiou P, Chiu YC, Yen SS, Tung CH, Chang CY. Temperature-dependent transfer characteristics of low turn-on voltage InGaZnO metal-oxide devices with thin titanium oxide capping layers Ieee/Osa Journal of Display Technology. 11: 512-517. DOI: 10.1109/Jdt.2014.2355876  0.357
2015 Hsu HH, Chiou P, Cheng CH, Yen SS, Tung CH, Chang CY, Lai YC, Li HW, Chang CP, Lu HH, Chuang CS, Lin YH. Amorphous titanium oxide semiconductors on Quasi-crystal-like InGaZnO channels for thin film transistor applications Ieee/Osa Journal of Display Technology. 11: 506-511. DOI: 10.1109/Jdt.2014.2353091  0.373
2015 Cheng YC, Chen HB, Chang CY, Wu YK, Shih YJ, Shao CS, Wu YC. Back-gate bias effect on nanosheet hybrid P/N channel of junctionless thin-film transistor with increased Ion versus decreased Ioff Applied Physics Letters. 107. DOI: 10.1063/1.4935247  0.332
2014 Cheng YC, Chen HB, Su JJ, Shao CS, Wang CP, Chang CY, Wu YC. Characterizing the electrical properties of raised S/D junctionless thin-film transistors with a dual-gate structure. Nanoscale Research Letters. 9: 2494. PMID 26089001 DOI: 10.1186/1556-276X-9-669  0.34
2014 Lin YH, Tsai CC, Sullivan WC, Chang PJ, Chang CY. Does awareness effect the restorative function and perception of street trees? Frontiers in Psychology. 5: 906. PMID 25177309 DOI: 10.3389/Fpsyg.2014.00906  0.439
2014 Hsu H, Chang C, Cheng C, Chiou S, Huang C. High Mobility Bilayer Metal–Oxide Thin Film Transistors Using Titanium-Doped InGaZnO Ieee Electron Device Letters. 35: 87-89. DOI: 10.1109/Led.2013.2290707  0.336
2014 Li ZY, Lee CY, Lin DW, Lin BC, Shen KC, Chiu CH, Tu PM, Kuo HC, Uen WY, Horng RH, Chi GC, Chang CY. High-efficiency and crack-free ingan-based leds on a 6-inch si (111) substrate with a composite buffer layer structure and quaternary superlattices electron-blocking layers Ieee Journal of Quantum Electronics. 50: 354-363. DOI: 10.1109/Jqe.2014.2304460  0.345
2014 Sullivan WC, Frumkin H, Jackson RJ, Chang CY. Gaia meets Asclepius: Creating healthy places Landscape and Urban Planning. 127: 182-184. DOI: 10.1016/J.Landurbplan.2014.03.005  0.421
2013 Chen HB, Chang C, Hung M, Tang Z, Cheng Y, Wu YC. A 2-bit/Cell Gate-All-Around Flash Memory of Self-Assembled Silicon Nanocrystals Japanese Journal of Applied Physics. 52: 21302. DOI: 10.7567/Jjap.52.021302  0.349
2013 Yu S, Ho P, Lee C, Bi C, Yeh C, Chang C. Cost-Effective and Self-Textured Gallium-Doped Zinc Oxide Front Contacts for Hydrogenated Amorphous Silicon Thin-Film Solar Cells Applied Physics Express. 6: 22301. DOI: 10.7567/Apex.6.022301  0.336
2013 Han M, Chen H, Chang C, Tsai C, Chang C. Improving Breakdown Voltage of LDMOS Using a Novel Cost Effective Design Ieee Transactions On Semiconductor Manufacturing. 26: 248-252. DOI: 10.1109/Tsm.2013.2258359  0.35
2013 Han M, Chang C, Chen H, Cheng Y, Wu Y. Device and Circuit Performance Estimation of Junctionless Bulk FinFETs Ieee Transactions On Electron Devices. 60: 1807-1813. DOI: 10.1109/Ted.2013.2256137  0.345
2013 Chen H, Chang C, Lu N, Wu J, Han M, Cheng Y, Wu Y. Characteristics of Gate-All-Around Junctionless Poly-Si TFTs With an Ultrathin Channel Ieee Electron Device Letters. 34: 897-899. DOI: 10.1109/Led.2013.2262018  0.363
2013 Hsu H, Chang C, Cheng C. A Flexible IGZO Thin-Film Transistor With Stacked ${\rm TiO}_{2}$ -Based Dielectrics Fabricated at Room Temperature Ieee Electron Device Letters. 34: 768-770. DOI: 10.1109/Led.2013.2258455  0.37
2013 Han M, Chang C, Chen H, Wu J, Cheng Y, Wu Y. Performance Comparison Between Bulk and SOI Junctionless Transistors Ieee Electron Device Letters. 34: 169-171. DOI: 10.1109/Led.2012.2231395  0.345
2013 Han M, Chang C, Jhan Y, Wu J, Chen H, Cheng Y, Wu Y. Characteristic of p-Type Junctionless Gate-All-Around Nanowire Transistor and Sensitivity Analysis Ieee Electron Device Letters. 34: 157-159. DOI: 10.1109/Led.2012.2229105  0.35
2013 Cheng Y, Wu Y, Chen HB, Han MH, Lu N, Su J, Chang C. High voltage characteristics of junctionless poly-silicon thin film transistors Applied Physics Letters. 103: 123510. DOI: 10.1063/1.4821856  0.367
2013 Han MH, Chen HB, Yen SS, Shao C, Chang C. Temperature-dependent characteristics of junctionless bulk transistor Applied Physics Letters. 103: 133503. DOI: 10.1063/1.4821747  0.315
2013 Chang C, Sze SM. Silicon Device Structures Materials Science and Technology. 341-390. DOI: 10.1002/9783527603978.Mst0261  0.34
2012 Yu SH, Lin W, Chen YH, Chang C. High Improvement in Conversion Efficiency of mu c-SiGe Thin-Film Solar Cells with Field-Enhancement Layers International Journal of Photoenergy. 2012: 817825. DOI: 10.1155/2012/817825  0.342
2012 Han MH, Chen HB, Chang CJ, Wu J, Chen W, Tsai C, Chang C. A Novel Cost Effective Double Reduced Surface Field Laterally Diffused Metal Oxide Semiconductor Design for Improving Off-State Breakdown Voltage Japanese Journal of Applied Physics. 51. DOI: 10.1143/Jjap.51.04Dp04  0.339
2012 Chiu C, Tu P, Chang S, Lin C, Jang C, Li Z, Yang H, Zan H, Kuo H, Lu T, Wang S, Chang C. Light Output Enhancement of GaN-Based Light-Emitting Diodes by Optimizing SiO$_{2}$ Nanorod-Array Depth Patterned Sapphire Substrate Japanese Journal of Applied Physics. 51: 04DG11. DOI: 10.1143/Jjap.51.04Dg11  0.328
2012 Tu PM, Chang JR, Huang SC, Yang S, Lin YW, Hung T, Hsu CP, Chang C. Investigation of efficiency droop for UV LED with N-type AlGaN layer Proceedings of Spie. 8278: 0-0. DOI: 10.1117/12.908266  0.31
2012 Chang S, Sou K, Chang J, Cheng Y, Li Y, Chen Y, Kuo H, Hsu K, Chang C. Low efficiency droop of InGaN/GaN blue LEDs with super-lattice active structure Proceedings of Spie. 8278. DOI: 10.1117/12.908121  0.316
2012 Chen H, Wu Y, Chen L, Chiang J, Yang C, Chang C. High-Reliability Trigate Poly-Si Channel Flash Memory Cell With Si-Nanocrystal Embedded Charge-Trapping Layer Ieee Electron Device Letters. 33: 537-539. DOI: 10.1109/Led.2012.2184519  0.352
2012 Chiu C, Lin C, Tu P, Huang S, Tu C, Li J, Li Z, Uen W, Zan H, Lu T, Kuo H, Wang S, Chang C. Improved Output Power of InGaN-Based Ultraviolet LEDs Using a Heavily Si-Doped GaN Insertion Layer Technique Ieee Journal of Quantum Electronics. 48: 175-181. DOI: 10.1109/Jqe.2011.2170553  0.373
2012 Luo G, Ko CH, Wann HC, Chung CT, Han ZY, Cheng CC, Chang C, Lin HY, Chien C. Nearly Dislocation-free Ge/Si Heterostructures by Using Nanoscale Epitaxial Growth Method Physics Procedia. 25: 105-109. DOI: 10.1016/J.Phpro.2012.03.057  0.341
2011 Tu P, Hsu S, Chang C. High-quality vertical light emitting diodes fabrication by mechanical lift-off technique Proceedings of Spie. 8123. DOI: 10.1117/12.893237  0.326
2011 Zhang X, Guo H, Lin HY, Cheng CC, Ko CH, Wann CH, Luo GL, Chang C, Chien C, Han ZY, Huang SC, Chin HC, Gong X, Koh SM, Lim PSY, et al. Self-aligned contact metallization technology for III-V metal-oxide-semiconductor field effect transistors Journal of Vacuum Science & Technology B. 29: 32209. DOI: 10.1116/1.3592211  0.317
2011 Chen B, Chang C, Fu Y, Huang K, Lu Y, Su Y. Improved Performance of InGaN/GaN Light-Emitting Diodes With Thin Intermediate Barriers Ieee Photonics Technology Letters. 23: 1682-1684. DOI: 10.1109/Lpt.2011.2166540  0.302
2011 Fu Y, Chen B, Fang Y, Jiang R, Lu Y, Xuan R, Huang K, Lin C, Su Y, Chen J, Chang C. Study of InGaN-Based Light-Emitting Diodes on a Roughened Backside GaN Substrate by a Chemical Wet-Etching Process Ieee Photonics Technology Letters. 23: 1373-1375. DOI: 10.1109/Lpt.2011.2161276  0.316
2011 Chen H, Wu Y, Yang C, Chen L, Chiang J, Chang C. Impacts of Poly-Si Nanowire Shape on Gate-All-Around Flash Memory With Hybrid Trap Layer Ieee Electron Device Letters. 32: 1382-1384. DOI: 10.1109/Led.2011.2161257  0.356
2011 Chiu C, Tu P, Lin C, Lin D, Li Z, Chuang K, Chang J, Lu T, Zan H, Chen C, Kuo H, Wang S, Chang C. Highly Efficient and Bright LEDs Overgrown on GaN Nanopillar Substrates Ieee Journal of Selected Topics in Quantum Electronics. 17: 971-978. DOI: 10.1109/Jstqe.2010.2065794  0.315
2011 Cheng BS, Wu YL, Lu TC, Chiu CH, Chen CH, Tu PM, Kuo HC, Wang SC, Chang CY. High Q microcavity light emitting diodes with buried AlN current apertures Applied Physics Letters. 99. DOI: 10.1063/1.3617418  0.307
2011 Feng L, Chang C, Chang T, Tu C, Wang P, Lin C, Chen M, Huang H, Gan D, Ho N, Chen S, Chen S. Low temperature synthesis and electrical characterization of germanium doped Ti-based nanocrystals for nonvolatile memory Thin Solid Films. 520: 1136-1140. DOI: 10.1016/J.Tsf.2011.08.025  0.345
2011 Feng L, Chang C, Chang T, Tu C, Wang P, Lin C, Chen M, Huang H, Gan D, Ho N, Chen S, Chen S. Formation and composition of titanium oxinitride nanocrystals synthesized via nitridizing titanium oxide for nonvolatile memory applications Thin Solid Films. 519: 7977-7981. DOI: 10.1016/J.Tsf.2011.05.042  0.337
2010 Chen L, Wu Y, Lin T, Huang J, Hung M, Chen J, Chang C. Poly-Si Nanowire Nonvolatile Memory With Nanocrystal Indium–Gallium–Zinc–Oxide Charge-Trapping Layer Ieee Electron Device Letters. 31: 1407-1409. DOI: 10.1109/Led.2010.2076271  0.334
2010 Feng L, Chang Y, Chang C, Chang T, Wang S, Chiang P, Lin C, Chen S, Chen S. Reproducible resistance switching of a relatively thin FeOx layer produced by oxidizing the surface of a FePt electrode in a metal-oxide–metal structure Thin Solid Films. 519: 1536-1539. DOI: 10.1016/J.Tsf.2010.08.165  0.316
2010 Chen SC, Chang TC, Wu YC, Chin JY, Syu YE, Sze SM, Chang CY, Wu HH, Chen YC. Temperature-dependent memory characteristics of silicon-oxide-nitride-oxide-silicon thin-film-transistors Thin Solid Films. 518: 3999-4002. DOI: 10.1016/J.Tsf.2009.12.006  0.358
2009 Hu C, Chang T, Tu C, Chiang C, Lin C, Lee S, Chang C, Sze SM, Tseng T. Enhancement of NiSi-Based Nanocrystal Formation by Incorporating Ge Elements for Nonvolatile Memory Devices Journal of the Electrochemical Society. 156: H751. DOI: 10.1149/1.3167386  0.347
2009 Chen W, Chang T, Hsieh Y, Chang C. Formation and Nonvolatile Memory Application of Ge Nanocrystals by Using Internal Competition Reaction of and Layers Ieee Transactions On Nanotechnology. 8: 185-189. DOI: 10.1109/Tnano.2008.2005728  0.379
2009 Cheng C, Chien C, Luo G, Lin C, Chen H, Liu J, Kei C, Hsiao C, Chang C. Junction and Device Characteristics of Gate-Last Ge p- and n-MOSFETs With ALD- $\hbox{Al}_{2}\hbox{O}_{3}$ Gate Dielectric Ieee Transactions On Electron Devices. 56: 1681-1689. DOI: 10.1109/Ted.2009.2023948  0.365
2009 Cheng C, Chien C, Luo G, Ling Y, Chang R, Kei C, Hsiao C, Liu J, Chang C. Effects of Minority-Carrier Response Behavior on Ge MOS Capacitor Characteristics: Experimental Measurements and Theoretical Simulations Ieee Transactions On Electron Devices. 56: 1118-1127. DOI: 10.1109/Ted.2009.2016020  0.351
2009 Feng L, Chang C, Chang T, Tu C, Wang P, Chang Y, Chen M, Huang H. Role of germanium in the reduced temperature dependence of Ti-based nanocrystals formation for nonvolatile memory applications Applied Physics Letters. 95: 262110. DOI: 10.1063/1.3279131  0.325
2008 Chen W, Chang T, Yeh J, Chang C. Enhancement of Charge-Storage Performance in Ni-Silicide Nanocrystal Devices by Thermal Annealing a Ni–Si–N Thin Film Journal of the Electrochemical Society. 155: H869. DOI: 10.1149/1.2971189  0.349
2008 Hu H, Chen K, Huang G, Chien A, Cheng E, Yang Y, Chang C. Analysis of Temperature Effects on High-Frequency Characteristics of RF Lateral-Diffused Metal-Oxide-Semiconductor Transistors Japanese Journal of Applied Physics. 47: 2650-2655. DOI: 10.1143/Jjap.47.2650  0.313
2008 Chen W, Chang T, Liu P, Wu CJ, Tu C, Sze SM, Chang C. Passivation Effect of Poly-Si Thin-Film Transistors With Fluorine-Ion-Implanted Spacers Ieee Electron Device Letters. 29: 603-605. DOI: 10.1109/Led.2008.922550  0.322
2008 Hu H, Chen K, Huang G, Chen M, Cheng E, Yang Y, Chang C. Temperature-Dependent Capacitance Characteristics of RF LDMOS Transistors With Different Layout Structures Ieee Electron Device Letters. 29: 784-787. DOI: 10.1109/Led.2008.2000648  0.311
2008 Chen W, Chang T, Yeh J, Sze SM, Chang C. Formation and nonvolatile memory characteristics of multilayer nickel-silicide NCs embedded in nitride layer Journal of Applied Physics. 104: 094303. DOI: 10.1063/1.3006126  0.321
2008 Hu C, Chang T, Liu P, Tu C, Lee S, Sze SM, Chang C, Chiou B, Tseng T. Formation of cobalt-silicide nanocrystals in Ge-doped dielectric layer for the application on nonvolatile memory Applied Physics Letters. 92: 152115. DOI: 10.1063/1.2908916  0.357
2008 Chen W, Chang T, Yeh J, Sze SM, Chang C. Reliability characteristics of NiSi nanocrystals embedded in oxide and nitride layers for nonvolatile memory application Applied Physics Letters. 92: 152114. DOI: 10.1063/1.2905812  0.305
2008 Cheng C, Chien C, Luo G, Yang C, Chang C, Chang C, Kei C, Hsiao C, Perng T. Effects of interfacial sulfidization and thermal annealing on the electrical properties of an atomic-layer-deposited Al2O3 gate dielectric on GaAs substrate Journal of Applied Physics. 103: 74102. DOI: 10.1063/1.2901167  0.365
2008 Chen W, Chang T, Yeh J, Chang C, Chen S. Nonvolatile memory characteristics influenced by the different crystallization of Ni–Si and Ni–N nanocrystals Applied Physics Letters. 92: 062112. DOI: 10.1063/1.2841049  0.306
2008 Feng L, Chang T, Liu P, Tu C, Wu Y, Yang C, Chang C. WITHDRAWN: Application of fluorine doped oxide (SiOF) spacers for improving reliability in low temperature polycrystalline thin film transistors Surface & Coatings Technology. DOI: 10.1016/J.Surfcoat.2008.04.087  0.31
2008 Huang S, Chen K, Huang G, Hung C, Liao W, Chang C. Electrical stress effect on RF power characteristics of SiGe hetero-junction bipolar transistors Microelectronics Reliability. 48: 193-199. DOI: 10.1016/J.Microrel.2007.05.008  0.316
2007 Cheng C, Chien C, Luo G, Yang C, Kuo M, Lin J, Tseng C, Chang C. Study of thermal stability of HfOxNy/Ge capacitors using postdeposition annealing and NH3 plasma pretreatment Journal of the Electrochemical Society. 154. DOI: 10.1149/1.2734875  0.359
2007 Tu C, Chang T, Liu P, Weng C, Liu H, Chang L, Lee S, Chen W, Sze SM, Chang C. Formation of Germanium Nanocrystals Embedded in a Silicon-Oxygen-Nitride Layer Journal of the Electrochemical Society. 154: H435. DOI: 10.1149/1.2717494  0.349
2007 Lo W, Kuo Y, Lee Y, Chao T, Chang C. Performance Enhancement by Local Strain in Channel n-Channel Metal–Oxide–Semiconductor Field-Effect Transistors on (111) Substrate Japanese Journal of Applied Physics. 46: 5715-5718. DOI: 10.1143/Jjap.46.5715  0.353
2007 Lin Y, Chang EY, Yamaguchi H, Wu W, Chang C. A $\delta$ -Doped InGaP/InGaAs pHEMT With Different Doping Profiles for Device-Linearity Improvement Ieee Transactions On Electron Devices. 54: 1617-1625. DOI: 10.1109/Ted.2007.899398  0.344
2007 Chen S, Chang T, Liu P, Wu Y, Lin P, Tseng B, Shy J, Sze SM, Chang C, Lien C. A Novel Nanowire Channel Poly-Si TFT Functioning as Transistor and Nonvolatile SONOS Memory Ieee Electron Device Letters. 28: 809-811. DOI: 10.1109/Led.2007.903885  0.376
2007 Tu C, Chang T, Liu P, Yang C, Feng L, Tsai C, Chang L, Wu Y, Sze SM, Chang C. Improved Performance of F-Ions-Implanted Poly-Si Thin-Film Transistors Using Solid Phase Crystallization and Excimer Laser Crystallization Journal of Display Technology. 3: 45-51. DOI: 10.1109/Jdt.2006.890707  0.31
2007 Chen W, Chang T, Hsieh Y, Sze SM, Chang C. Formation of Ge nanocrystals using Si1.33Ge0.67O2 and Si2.67Ge1.33N2 film for nonvolatile memory application Applied Physics Letters. 91: 102106. DOI: 10.1063/1.2779931  0.33
2006 Tu C, Chang T, Liu P, Liu H, Weng C, Shy J, Tseng B, Tseng T, Sze SM, Chang C. A Fabrication of Germanium Nanocrystal Embedded in Silicon-Oxygen-Nitride Layer Electrochemical and Solid-State Letters. 9: G358. DOI: 10.1149/1.2357983  0.348
2006 Tu C, Chang T, Liu P, Chen C, Yang C, Wu Y, Liu H, Chang L, Tsai C, Sze SM, Chang C. Electrical Enhancement of Solid Phase Crystallized Poly-Si Thin-Film Transistors with Fluorine Ion Implantation Journal of the Electrochemical Society. 153: G815. DOI: 10.1149/1.2214468  0.34
2006 Chu L, Hsu H, Chang E, Lee T, Chen S, Lien Y, Chang C. Double δ-Doped Enhancement-Mode InGaP/AlGaAs/InGaAs Pseudomorphic High Electron Mobility Transistor for Linearity Application Japanese Journal of Applied Physics. 45. DOI: 10.1143/Jjap.45.L932  0.331
2006 Li T, Ho W, Chen H, Wang HC-, Chang C, Hu C. Novel dual-metal gate technology using Mo-MoSi/sub x/ combination Ieee Transactions On Electron Devices. 53: 1420-1426. DOI: 10.1109/Ted.2006.874227  0.327
2006 Tu C, Chang T, Liu P, Yang C, Liu H, Chen W, Wu Y, Chang C. Improvement of electrical characteristics for fluorine-ion-implanted poly-Si TFTs using ELC Ieee Electron Device Letters. 27: 262-264. DOI: 10.1109/Led.2006.870420  0.351
2006 Tu C, Chang T, Liu P, Liu H, Sze SM, Chang C. Improved memory window for Ge nanocrystals embedded in SiON layer Applied Physics Letters. 89: 162105. DOI: 10.1063/1.2362972  0.344
2005 Wang D, Chang C. Basic characteristics of Pt/SrBi2Ta2O9/HfO2/Si structure using layer-by-layer crystallization Journal of the Electrochemical Society. 152. DOI: 10.1149/1.1948967  0.339
2005 Wu Y, Chang T, Liu P, Chen C, Tu C, Zan H, Tai Y, Chang C. Effects of Channel Width on Electrical Characteristics of Polysilicon TFTs With Multiple Nanowire Channels Ieee Transactions On Electron Devices. 52: 2343-2346. DOI: 10.1109/Ted.2005.856797  0.316
2005 Huang SY, Chen KM, Huang GW, Liang V, Tseng HC, Hsu TL, Chang CY. Hot-carrier induced degradations on RF power characteristics of SiGe heterojunction bipolar transistors Ieee Transactions On Device and Materials Reliability. 5: 183-189. DOI: 10.1109/Tdmr.2005.846829  0.31
2005 Wu Y, Chang T, Liu P, Chou C, Wu Y, Tu C, Chang C. Reduction of leakage current in metal-induced lateral crystallization polysilicon TFTs with dual-gate and multiple nanowire channels Ieee Electron Device Letters. 26: 646-648. DOI: 10.1109/Led.2005.854382  0.343
2005 Cheng C, Chien C, Chen C, Hsu S, Yang M, Huang C, Yang F, Chang C. Impact of post-deposition-annealing on the electrical characteristics of HfOxNy gate dielectric on Ge substrate Microelectronic Engineering. 80: 30-33. DOI: 10.1016/J.Mee.2005.04.033  0.377
2004 Hu S, Wu Y, Sung C, Chang C, Huang T. A dual-gate-controlled single-electron transistor using self-aligned polysilicon sidewall spacer gates on silicon-on-insulator nanowire Ieee Transactions On Nanotechnology. 3: 93-97. DOI: 10.1109/Tnano.2003.820784  0.347
2003 Luo G, Yang T, Chang EY, Chang C, Chao K. Growth of High-Quality Ge Epitaxial Layers on Si (100) Japanese Journal of Applied Physics. 42: 517-519. DOI: 10.1143/Jjap.42.L517  0.323
2003 Zan H, Chang T, Shih P, Peng D, Kuo P, Huang T, Chang C, Liu P. A study of parasitic resistance effects in thin-channel polycrystalline silicon TFTs with tungsten-clad source/drain Ieee Electron Device Letters. 24: 509-511. DOI: 10.1109/Led.2003.815160  0.334
2003 Perng T, Chien C, Chen C, Lin H, Chang C, Huang T. Enhanced negative substrate bias degradation in nMOSFETs with ultrathin plasma nitrided oxide Ieee Electron Device Letters. 24: 333-335. DOI: 10.1109/Led.2003.812556  0.322
2002 Lo W, Chang S, Chang C, Cao T. Impacts of gate structure on dynamic threshold SOI nMOSFETs Ieee Electron Device Letters. 23: 497-499. DOI: 10.1109/Led.2002.801334  0.321
2002 Chen KM, Huang GW, Chiu DY, Huang HJ, Chang CY. Analysis of low-frequency noise in boron-doped polycrystalline silicon-germanium resistors Applied Physics Letters. 81: 2578-2580. DOI: 10.1063/1.1511815  0.317
2001 Huang H, Chen K, Chang C, Huang T. Electrical and compositional properties of co-silicided shallow p(+)-n junction using Si-capped/boron-doped Si1-xGex layer deposited by UHVCME Journal of the Electrochemical Society. 148. DOI: 10.1149/1.1346619  0.339
2001 Huang H, Chen K, Huang T, Chao T, Huang G, Chien C, Chang C. Improved low temperature characteristics of P-channel MOSFETs with Si/sub 1-x/Ge/sub x/ raised source and drain Ieee Transactions On Electron Devices. 48: 1627-1632. DOI: 10.1109/16.936576  0.345
2001 Huang H, Chen K, Chang C, Chao T, Huang TY. Electrical properties of shallow p(+)-n junction using boron-doped Si1-xGex layer deposited by ultrahigh vacuum chemical molecular epitaxy Journal of Applied Physics. 89: 5133-5137. DOI: 10.1063/1.1321022  0.364
2001 Horng G, Chang C, Chang T, Ho C, Wu C. Microstructure effects on quantum efficiency in PtSi/p-Si(1 0 0) Schottky barrier detector Materials Chemistry and Physics. 68: 17-21. DOI: 10.1016/S0254-0584(00)00350-3  0.324
2000 Shih P, Chang T, Huang T, Yeh C, Chang C. Characterization and Reliability of Lightly-Doped-Drain Polysilicon Thin-Film Transistors with Oxide Sidewall Spacer Formed by One-Step Selective Liquid Phase Deposition Japanese Journal of Applied Physics. 39: 5758-5762. DOI: 10.1143/Jjap.39.5758  0.369
2000 Shih P, Zan H, Chang T, Huang T, Chang C. Dimensional Effects on the Drain Current of N- and P-Channel Polycrystalline Silicon Thin Film Transistors Japanese Journal of Applied Physics. 39: 3879-3882. DOI: 10.1143/Jjap.39.3879  0.321
2000 Chang C, Chang S, Chao T, Wu S, Huang T. Reduced reverse narrow channel effect in thin SOI nMOSFETs Ieee Electron Device Letters. 21: 460-462. DOI: 10.1109/55.863111  0.365
2000 Huang H, Chen K, Chang C, Chen L, Huang G, Huang T. Reduction of source/drain series resistance and its impact on device performance for PMOS transistors with raised Si/sub 1-x/Ge x source/drain Ieee Electron Device Letters. 21: 448-450. DOI: 10.1109/55.863107  0.335
2000 Chang S, Chang C, Chao T, Zhong S, Yeh W, Huang T. A novel sacrificial gate stack process for suppression of boron penetration in p-MOSFET with shallow BF/sub 2/-implanted source/drain extension Ieee Electron Device Letters. 21: 381-383. DOI: 10.1109/55.852957  0.337
2000 Chen C, Lin H, Chang C, Liang M, Chien C, Hsien S, Huang T. Improved immunity to plasma damage in ultrathin nitrided oxides [CMOS technology] Ieee Electron Device Letters. 21: 15-17. DOI: 10.1109/55.817438  0.315
2000 Chang S, Chang C, Chen C, Chao T, Lee Y, Huang T. high-performance and high-reliability 80-nm gate-length DTMOS with indium super steep retrograde channel Ieee Transactions On Electron Devices. 47: 2379-2384. DOI: 10.1109/16.887025  0.326
2000 Chen C, Lin H, Chang C, Liang M, Chien C, Hsien S, Huang T, Chao T. Plasma-induced charging damage in ultrathin (3-nm) gate oxides Ieee Transactions On Electron Devices. 47: 1355-1360. DOI: 10.1109/16.848277  0.32
2000 Huang H, Chen K, Chang C, Huang T, Chen L, Huang G. Study on Ge/Si ratio, silicidation, and strain relaxation of high temperature sputtered Co/Si1−xGex structures Journal of Applied Physics. 88: 1831-1837. DOI: 10.1063/1.1305832  0.327
2000 Chang C, Lee Y, Huang T, Shih P, Lin C. The effects of microcrystalline silicon film structure on low-high-low band-gap thin film transistor Materials Chemistry and Physics. 62: 153-157. DOI: 10.1016/S0254-0584(99)00174-1  0.368
2000 Horng G, Chang C, Ho C, Lee C, Huang TY. The effects of growth temperature on the microstructure and electrical barrier height in PtSi/p-Si(100) Schottky barrier detector Thin Solid Films. 374: 80-84. DOI: 10.1016/S0040-6090(00)01063-4  0.305
1999 Wang M, Chien C, Chao T, Lin H, Jong F, Huang T, Chang C. The Effects of Shallow Germanium Halo Doping on N-Channel Metal Oxide Semiconductor Field Effect Transistors Japanese Journal of Applied Physics. 38: 33-34. DOI: 10.1143/Jjap.38.L33  0.305
1999 Chen K, Huang H, Huang G, Chang C, Chen L. P-Channel Metal Oxide Semiconductor Field Effect Transistors with Polycrystalline-Si1-xGex Gate Grown by Ultra-High Vacuum Chemical Vapor Deposition System Japanese Journal of Applied Physics. 38. DOI: 10.1143/Jjap.38.L1099  0.364
1999 Lin M, Chang C, Huang T, Shieh W. Leakage current reduction of chemical-vapor-deposited Ta2O5 films on rugged polycrystalline silicon electrode for dynamic random access memory application Japanese Journal of Applied Physics. 38: 1927-1931. DOI: 10.1143/Jjap.38.1927  0.36
1999 Shih P, Chang C, Chang T, Huang T, Peng D, Yeh C. A novel lightly doped drain polysilicon thin-film transistor with oxide sidewall spacer formed by one-step selective liquid phase deposition Ieee Electron Device Letters. 20: 421-423. DOI: 10.1109/55.778164  0.354
1999 Chang C, Chen C, Lin H, Liang M, Chien C, Huang T. Reliability of ultrathin gate oxides for ULSI devices Microelectronics Reliability. 39: 553-566. DOI: 10.1016/S0026-2714(99)00037-2  0.314
1998 Chen L, Chan Y, Chang S, Huang G, Chang C. Direct Oxidation of Si1-xGex Layers Using Vacuum-Ultra-Violet Light Radiation in Oxygen. Japanese Journal of Applied Physics. 37. DOI: 10.1143/Jjap.37.L122  0.353
1998 Lee Y, Lin H, Lei T, Huang T, Chang T–, Chang C. Comparison of N2 and NH3 Plasma Passivation Effects on Polycrystalline Silicon Thin-Film Transistors Japanese Journal of Applied Physics. 37: 3900-3903. DOI: 10.1143/Jjap.37.3900  0.333
1998 Chen Y, Wu Y, Tsay W, Laih L, Hong J, Chang C. Optoelectronic characteristics of a-SiC:H-based pin thin film LEDs having a thin Mo buffer layer in contact with p-type a-Si:H Electronics Letters. 34: 1433-1434. DOI: 10.1049/El:19980981  0.37
1997 Tsai W, Chang C, Huang G, Fang F, Chang Y, Huang C. Very High Hole Mobility in P-Type Si/SiGe Modulation-Doped Heterostructures Japanese Journal of Applied Physics. 36. DOI: 10.1143/Jjap.36.L323  0.341
1997 Tsai W, Chang C, Jung T, Chang T, Lin H, Chen L. Interfacial Abruptness in Si/SiGe Heteroepitaxy Grown by Ultrahigh Vacuum Chemical Vapor Deposition Japanese Journal of Applied Physics. 36: 5680-5687. DOI: 10.1143/Jjap.36.5680  0.319
1997 Lin H, Chang C, Lei TF, Cheng J, Tseng H, Chen L. Characterization of Polycrystalline Silicon Thin Film Transistors Fabricated by Ultrahigh-Vacuum Chemical Vapor Deposition and Chemical Mechanical Polishing Japanese Journal of Applied Physics. 36: 4278-4282. DOI: 10.1143/Jjap.36.4278  0.366
1997 Lin C, Chang C. A Novel Thin-Film Transistor with Vertical Offset Structure Japanese Journal of Applied Physics. 36: 2032-2043. DOI: 10.1143/Jjap.36.2032  0.357
1997 Tsang J, Guo J, Chan S, Feng M, Chang C. Investigation of the indium atom interdiffusion on the growth of GaN/InGaN heterostructures Japanese Journal of Applied Physics. 36: 1728-1732. DOI: 10.1143/Jjap.36.1728  0.306
1997 Chen Y, Chiou C, Tsay W, Laih L, Hong J, Chang C. Optoelectronic characteristics of a-SiC:H-based P-I-N thin-film light-emitting diodes with low-resistance and high-reflectance N/sup +/-a-SiCGe:H layer Ieee Transactions On Electron Devices. 44: 1360-1366. DOI: 10.1109/16.622587  0.327
1997 Jen T, Shin N, Laih L, Chen Y, Hong J, Chang C. Electrical and luminescent characteristics of a-SiC:H p-i-n thin-film LED'S with graded-gap junctions Ieee Transactions On Electron Devices. 44: 565-571. DOI: 10.1109/16.563359  0.341
1997 Nilsson S, Penner U, Schmalz K, Yassievich IN, Chang C, Tsai W. Local electric field effects in a SiGe quantum well investigated by photoluminescence Thin Solid Films. 294: 190-193. DOI: 10.1016/S0040-6090(96)09241-3  0.332
1997 Chen Y, Chen B, Tsay W, Laih L, Chang M, Chyi J, Hong J, Chang C. Porous Silicon Light-Emitting Diode With Tunable Color Solid-State Electronics. 41: 757-759. DOI: 10.1016/S0038-1101(96)00248-1  0.328
1996 Chai C, Wu J, Guo J, Huang J, Lai Y, Chan S, Chang C, Chan Y, Cheng H. High-Performance Au/Ti/Ge/Pd Ohmic Contacts on n-Type In0.5Ga0.5P Japanese Journal of Applied Physics. 35: 2073-2076. DOI: 10.1143/Jjap.35.2073  0.303
1996 Chen Y, Chen J, Tsay W, Laih L, Hong J, Chang C. Double Graded-Gap Hydrogenated Amorphous Silicon Carbide Thin-Film Light-Emitting Diode with Composition-Graded N Layer and Carbon-Increasing P Layer Japanese Journal of Applied Physics. 35: 1018-1021. DOI: 10.1143/Jjap.35.1018  0.355
1996 Chang CY, Lin CW. A high-performance thin-film transistor with a vertical offset structure Ieee Electron Device Letters. 17: 572-574. DOI: 10.1109/55.545774  0.36
1996 Lin H, Chang C, Lei TF, Liu F, Yang W, Cheng J, Tseng H, Chen L. Low-temperature and low thermal budget fabrication of polycrystalline silicon thin-film transistors Ieee Electron Device Letters. 17: 503-505. DOI: 10.1109/55.541762  0.376
1996 Lai Y, Chang EY, Chang C, Chen TK, Liu TH, Wang SP, Chen TH, Lee CT. 5 mm high-power-density dual-delta-doped power HEMT's for 3 V L-band applications Ieee Electron Device Letters. 17: 229-231. DOI: 10.1109/55.491838  0.305
1996 Chang C, Lin H, Lei TF, Cheng J, Chen L, Dai B. Fabrication of thin film transistors by chemical mechanical polished polycrystalline silicon films Ieee Electron Device Letters. 17: 100-102. DOI: 10.1109/55.485180  0.348
1996 Wang C, Feng M, Chan S, Chang C, Wu J, Sze SM. Growth and electrical characterization of Si delta‐doped GaInP by low pressure metalorganic chemical vapor deposition Journal of Applied Physics. 79: 8054-8059. DOI: 10.1063/1.362359  0.369
1996 Lin H, Chang C, Lei TF, Chang C. Novel polycrystalline silicon thin film transistors prepared by deposition from Si2H6 and subsequent annealing by NH3 plasma Solid-State Electronics. 39: 1731-1735. DOI: 10.1016/S0038-1101(96)00120-7  0.372
1996 Chang T, Yeh W, Chang C, Jung T, Tsai W, Huang G, Mei Y. Uniformity of epilayer grown by ultrahigh-vacuum chemical vapor deposition Materials Chemistry and Physics. 44: 95-99. DOI: 10.1016/0254-0584(95)01645-B  0.324
1996 Chang T, Yeh W, Hsu M, Chang C, Lee C, Jung T, Tsai W, Huang G, Mei Y. Light emission from the porous boron δ-doped Si superlattice Applied Surface Science. 92: 571-574. DOI: 10.1016/0169-4332(95)00297-9  0.319
1996 Chang T, Yeh W, Mei Y, Tsai W, Chang C, Chen YF. Photoluminescence from ordered and disordered Si-SiGe superlattices Optical and Quantum Electronics. 28: 1295-1303. DOI: 10.1007/Bf00326202  0.315
1995 Wang C, Wu J, Chan S, Chang C, Sze SM, Feng M. Silicon Delta-Doping Of Gainp Grown By Low-Pressure Metalorganic Chemical-Vapor-Deposition Japanese Journal of Applied Physics. 34. DOI: 10.1143/Jjap.34.L1107  0.337
1995 Wang C, Feng M, Chan S, Wu J, Chang C, Sze SM. Effects of Rapid Thermal Annealing on Si Delta-Doped GaInP Grown by Low Pressure Metalorganic Chemical Vapor Deposition Japanese Journal of Applied Physics. 34: 6321-6325. DOI: 10.1143/Jjap.34.6321  0.34
1995 Lei TF, Chen TP, Lin H, Chang C. Low-temperature growth of silicon-boron layer as solid diffusion source for polysilicon contacted p/sup +/-n shallow junction Ieee Transactions On Electron Devices. 42: 2104-2110. DOI: 10.1109/16.477767  0.322
1995 Lin C, Juan K, Chang C, Pan F-, Chou P-, Hung SF, Chen L-. A comprehensive study of suppression of boron penetration by amorphous-Si gate in P/sup +/-gate PMOS devices Ieee Transactions On Electron Devices. 42: 2080-2088. DOI: 10.1109/16.477764  0.365
1995 Lin C, Chang C, Hsu CC-. Suppression of boron penetration in BF/sub 2/-implanted p-type gate MOSFET by trapping of fluorines in amorphous gate Ieee Transactions On Electron Devices. 42: 1503-1509. DOI: 10.1109/16.398666  0.353
1995 Hsu W, Wu C, Tsai M, Chang C, Liu W, Shieh H. Characterization of high performance inverted delta-modulation-doped (IDMD) GaAs/InGaAs pseudomorphic heterostructure FET's Ieee Transactions On Electron Devices. 42: 804-809. DOI: 10.1109/16.381973  0.342
1994 Jen T, Leu S, Chou T, Hong J, Chang C. Effects of N2O-Plasma Treatment of a-SiOxNy/a-SiNx Gate Insulators on Electrical Stability of a-Si:H Thin-Film Transistors Japanese Journal of Applied Physics. 33. DOI: 10.1143/Jjap.33.L977  0.348
1994 Jen T, Pan J, Shin N, Tsay W, Hong J, Chang C. Hydrogenated Amorphous-Silicon Carbide P-I-N Thin-Film Light-Emitting-Diodes With Barrier Layers Inserted At P-I Interface Japanese Journal of Applied Physics. 33: 827-831. DOI: 10.1143/Jjap.33.827  0.351
1994 Lin KC, Chang EY, Wang SP, Lai YL, Chang CY. TiWN schottky contacts to n-Ga0.51 In0.49 P Japanese Journal of Applied Physics. 33: 4546-4549. DOI: 10.1143/Jjap.33.4546  0.343
1994 Lin JK, Chang CY, Chen KL, Kuo DC. New Polysilicon-Oxide-Nitride-Oxide-Silicon Electrically Erasable Programmable Read-only Memory Device Approach for Eliminating Off-Cell Leakage Current Japanese Journal of Applied Physics. 33: 2513. DOI: 10.1143/Jjap.33.2513  0.348
1994 Chyan Y, Sze SM, Chang C, Reif R. Effect of Interfacial Oxide on Static and High-Frequency Performance in Poly-Emitter Bipolar Transistors Under High-Level Injection Japanese Journal of Applied Physics. 33: 2487-2493. DOI: 10.1143/Jjap.33.2487  0.321
1994 Jung T, Chang C, Chang T, Lin H, Wang T, Tsai W, Huang G, Wang P. Low-Temperature Epitaxial Growth of Silicon and Silicon-Germanium Alloy by Ultrahigh-Vacuum Chemical Vapor Deposition Japanese Journal of Applied Physics. 33: 240-246. DOI: 10.1143/Jjap.33.240  0.338
1994 Chyan Y, Sze SM, Chang C, Reif R. Effect of Ge Concentration on Static and Microwave Performances in GexSi1-x Heterojunction Bipolar Transistors under High-Level Injection Japanese Journal of Applied Physics. 33: 1803-1808. DOI: 10.1143/Jjap.33.1803  0.339
1994 Chang T, Chang C, Jung T, Tsai W, Huang G, Wang P. Characterization of Si/SiGe Strained-Layer Superlattices Grown by an Ultrahigh Vacuum/Chemical Vapor Deposition Technique. Japanese Journal of Applied Physics. 33: 1787-1792. DOI: 10.1143/Jjap.33.1787  0.332
1994 Chang C, Lin C, Chou JW, Hsu CC-, Pan H, Ko J. Anomalous reverse short-channel effect in p/sup +/ polysilicon gated P-channel MOSFET Ieee Electron Device Letters. 15: 437-439. DOI: 10.1109/55.334659  0.314
1994 Jen TS, Chang CY, Pan JW, Shin NF, Hong JW. Electroluminescence Characteristics and Current-Conduction Mechanism of a-SiC:H P-I-N Thin-Film Light-Emitting Diodes with Barrier Layer Inserted at P-I Interface Ieee Transactions On Electron Devices. 41: 1761-1769. DOI: 10.1109/16.324586  0.35
1994 Wu C, Chang C, Chen P, Chen H, Lin K, Chan S. Magnesium doping of InGaAlP grown by low‐pressure metalorganic chemical vapor deposition Applied Physics Letters. 65: 1269-1271. DOI: 10.1063/1.112091  0.312
1994 Lin H, Lin H, Chang C, Lei T, Wang PJ, Deng R, Lin J. Deposition of in situ boron‐doped polycrystalline silicon films at reduced pressures Applied Physics Letters. 64: 763-765. DOI: 10.1063/1.111005  0.308
1994 Jen T, Shin N, Tsay W, Chen J, Ning S, Hong J, Chang C. Electroluminescence of a-SiC:H p-i-n thin-film light-emitting diodes with quantum-well-injection structures Solid-State Electronics. 37: 1619-1626. DOI: 10.1016/0038-1101(94)90044-2  0.34
1993 Jen T, Pan J, Shin N, Chen J, Tsay W, Hong J, Chang C. A-SiC:H p-i-n Thin-Film Light-Emitting Diodes with Barrier Layers Inserted at p-i Interface The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.1993.D-7-4  0.302
1993 Lin J, Chang C, Huang H, Ho T, Chen K. Transient and Steady State Carrier Transport under High Field Stresses in SONOS EEPROM Device Japanese Journal of Applied Physics. 32: 2748-2752. DOI: 10.1143/Jjap.32.2748  0.308
1993 Lin H, Jung T, Lin H, Chang C, Lei T, Wang PJ, Deng R, Lin J, Chao C. Deposition and device application of in situ boron‐doped polycrystalline SiGe films grown at low temperatures Journal of Applied Physics. 74: 5395-5401. DOI: 10.1063/1.354244  0.33
1993 Chang EY, Lai YL, Lin KC, Chang CY. Study of Schottky contacts on n-Ga0.51In0.49P by low-pressure metal-organic chemical-vapor deposition Journal of Applied Physics. 74: 5622-5625. DOI: 10.1063/1.354223  0.311
1993 Lin H, Lin H, Chang C, Lei T, Wang PJ, Deng R, Lin J, Chao C. Anomalous doping behavior of in situ boron‐doped polycrystalline silicon deposited by ultrahigh vacuum chemical vapor deposition Applied Physics Letters. 63: 1525-1527. DOI: 10.1063/1.110737  0.31
1992 Hong J, Jen T, Shin N, Lee J, Chang C. Visible a‐SiC:H P‐I‐N light emitting diodes with hot‐carrier tunneling injection layers Journal of the Chinese Institute of Engineers. 15: 729-734. DOI: 10.1080/02533839.1992.9677468  0.303
1990 Yarn K, Chang C, Wang Y, Wang R. Molecular-Beam Epitaxy Grown Gaas Bipolar-Unipolar Transition Negative Differential Resistance Power Transistor Japanese Journal of Applied Physics. 29: 2411-2413. DOI: 10.1143/Jjap.29.L2411  0.303
1990 Chen Y, Fang Y, Lee H, Chang C. Electrical And Optical Characteristics Of An A-Si-H/C-Si Heterojunction Switch Japanese Journal of Applied Physics. 29: 1415-1418. DOI: 10.1143/Jjap.29.1415  0.337
1990 Chen Y, Fang Y, Lee H, Chang C. Switching characteristics of hydrogenated amorphous silicon/crystalline silicon heterojunction devices Applied Physics Letters. 56: 1034-1036. DOI: 10.1063/1.102607  0.326
1990 Chen Y, Fang Y, Lee H, Chang C. Threshold Switching Transient In Metal A-Si-H C-Si(P-N) (Mass) Heterojunction Device Solid-State Electronics. 33: 461-465. DOI: 10.1016/0038-1101(90)90051-F  0.356
1987 Chang KC, Chang C, Fang YK, Jwo SC. The amorphous Si/SiC heterojunction color-sensitive phototransistor Ieee Electron Device Letters. 8: 64-65. DOI: 10.1109/Edl.1987.26553  0.374
1986 Jwo SC, Chang C. Amorphous silicon/silicon carbide heterojunction bulk unipolar diodes (HEBUD) Ieee Electron Device Letters. 7: 689-691. DOI: 10.1109/Edl.1986.26521  0.352
1986 Chang CY, Liu WC, Jame MS, Wang YH, Luryi S, Sze SM. Induced Base Transistor Fabricated by Molecular Beam Epitaxy Ieee Electron Device Letters. 7: 497-499. DOI: 10.1109/Edl.1986.26451  0.314
1985 Wu BS, Chang C, Fang Y, Lee RH. Amorphous silicon phototransistor on a glass substrate Ieee Transactions On Electron Devices. 32: 2192-2196. DOI: 10.1109/T-Ed.1985.22257  0.359
1984 Chen C, Tseng F, Chang C, Lee M‐. Study of Electrical Characteristics on Thermally Nitrided SiO2 (Nitroxide) Films Journal of the Electrochemical Society. 131: 875-877. DOI: 10.1149/1.2115718  0.336
1969 Chang C, Tsao K. Electrical properties of diffused zinc on SiO2-Si MOS structures Solid-State Electronics. 12: 411-415. DOI: 10.1016/0038-1101(69)90098-7  0.349
Show low-probability matches.