cached image

Sheldon X.-D. Tan - Publications

Affiliations: 
Electrical Engineering University of California, Riverside, Riverside, CA, United States 
Area:
Electronics and Electrical Engineering
Website:
https://www.engr.ucr.edu/people/sheldontan.html

18 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Wang H, Guo X, Tan SX, Zhang C, Tang H, Yuan Y. Leakage-Aware Predictive Thermal Management for Multicore Systems Using Echo State Network Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 39: 1400-1413. DOI: 10.1109/Tcad.2019.2915316  0.506
2019 Wang H, Tang D, Zhang M, Tan SX, Zhang C, Tang H, Yuan Y. GDP: A Greedy Based Dynamic Power Budgeting Method for Multi/Many-Core Systems in Dark Silicon Ieee Transactions On Computers. 68: 526-541. DOI: 10.1109/Tc.2018.2875986  0.509
2018 Wang S, Kim T, Sun Z, Tan SX, Tahoori MB. Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 531-543. DOI: 10.1109/Tvlsi.2017.2775586  0.546
2018 Peng S, Zhou H, Kim T, Chen H, Tan SX. Physics-Based Compact TDDB Models for Low- $k$ BEOL Copper Interconnects With Time-Varying Voltage Stressing Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 239-248. DOI: 10.1109/Tvlsi.2017.2764880  0.579
2018 Wang H, Wan J, Tan SX, Zhang C, Tang H, Yuan Y, Huang K, Zhang Z. A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method Ieee Transactions On Computers. 67: 617-630. DOI: 10.1109/Tc.2017.2778066  0.514
2018 Kim T, Tan SX, Cook C, Sun Z. Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy Integration. 63: 31-40. DOI: 10.1016/J.Vlsi.2018.05.002  0.472
2018 Tan SX, Amrouch H, Kim T, Sun Z, Cook C, Henkel J. Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited) Integration. 60: 132-152. DOI: 10.1016/J.Vlsi.2017.08.009  0.592
2018 Kim T, Liu Z, Tan SX. Dynamic reliability management based on resource-based EM modeling for multi-core microprocessors Microelectronics Journal. 74: 106-115. DOI: 10.1016/J.Mejo.2018.01.024  0.627
2017 Kim T, Sun Z, Chen H, Wang H, Tan SX. Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 25: 2561-2574. DOI: 10.1109/Tvlsi.2017.2707401  0.591
2017 Chen H, Tan SX, Peng J, Kim T, Chen J. Analytical Modeling of Electromigration Failure for VLSI Interconnect Tree Considering Temperature and Segment Length Effects Ieee Transactions On Device and Materials Reliability. 17: 653-666. DOI: 10.1109/Tdmr.2017.2746660  0.593
2017 Huang X, Sukharev V, Kim T, Tan SX. Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing Integration. 58: 518-527. DOI: 10.1016/J.Vlsi.2016.10.007  0.6
2016 Zhao Y, Kim T, Shin H, Tan SX, Li X, Chen H, Wang H. Statistical Rare-Event Analysis and Parameter Guidance by Elite Learning Sample Selection Acm Transactions On Design Automation of Electronic Systems. 21: 1-21. DOI: 10.1145/2875422  0.566
2016 He K, Tan S. Corrections to “GPU-Accelerated Parallel Sparse LU Factorization Method for Fast Circuit Analysis” Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2015.2507135  0.326
2016 Chen H, Tan SX, Huang X, Kim T, Sukharev V. Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 35: 1811-1824. DOI: 10.1109/Tcad.2016.2523898  0.55
2015 Phua YW, Nguyen A, Roden D, Elsworth B, Deng N, Nikolic I, Yang J, Mcfarland A, Russell R, Kaplan W, Cowley M, Nair R, Zotenko E, O'Toole S, Tan SX, et al. MicroRNA profiling of the pubertal mouse mammary gland identifies miR-184 as a candidate breast tumour suppressor gene. Breast Cancer Research : Bcr. 17: 83. PMID 26070602 DOI: 10.1186/S13058-015-0593-0  0.302
2013 Wang H, Tan SX, Li D, Gupta A, Yuan Y. Composable thermal modeling and simulation for architecture-level thermal designs of multicore microprocessors Acm Transactions On Design Automation of Electronic Systems. 18: 1-27. DOI: 10.1145/2442087.2442099  0.582
2012 Yan B, Tan SX, Zhou L, Chen J, Shen R. Decentralized and Passive Model Order Reduction of Linear Networks With Massive Ports Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 865-877. DOI: 10.1109/Tvlsi.2011.2126612  0.405
2010 Li D, Tan SX, Pacheco EH, Tirumala M. Parameterized architecture-level dynamic thermal models for multicore microprocessors Acm Transactions On Design Automation of Electronic Systems. 15: 1-22. DOI: 10.1145/1698759.1698766  0.549
Show low-probability matches.