Paul Bogdan, Ph.D. - Publications

Affiliations: 
2011 Electrical and Computer Engineering Carnegie Mellon University, Pittsburgh, PA 
Area:
Electronics and Electrical Engineering, Computer Engineering

76 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2022 Sia J, Zhang W, Jonckheere E, Cook D, Bogdan P. Inferring functional communities from partially observed biological networks exploiting geometric topology and side information. Scientific Reports. 12: 10883. PMID 35760826 DOI: 10.1038/s41598-022-14631-x  0.302
2022 Bogdan P, Ivanov PC, Pequito S. Editorial: Inference, Causality and Control in Networks of Dynamical Systems: Data Science and Modeling Perspectives to Network Physiology With Implications for Artificial Intelligence. Frontiers in Physiology. 13: 917001. PMID 35634141 DOI: 10.3389/fphys.2022.917001  0.307
2021 Xiao X, Chen H, Bogdan P. Deciphering the generating rules and functionalities of complex networks. Scientific Reports. 11: 22964. PMID 34824290 DOI: 10.1038/s41598-021-02203-4  0.31
2021 Bogdan P, Caetano-Anollés G, Jolles A, Kim H, Morris J, Murphy CA, Royer C, Snell EH, Steinbrenner A, Strausfeld N. Biological networks across scales. Integrative and Comparative Biology. PMID 34021749 DOI: 10.1093/icb/icab069  0.317
2020 Yin C, Xiao X, Balaban V, Kandel ME, Lee YJ, Popescu G, Bogdan P. Network science characteristics of brain-derived neuronal cultures deciphered from quantitative phase imaging data. Scientific Reports. 10: 15078. PMID 32934305 DOI: 10.1038/S41598-020-72013-7  0.322
2020 Bogdan P, Eke A, Ivanov PC. Editorial: Fractal and Multifractal Facets in the Structure and Dynamics of Physiological Systems and Applications to Homeostatic Control, Disease Diagnosis and Integrated Cyber-Physical Platforms. Frontiers in Physiology. 11: 447. PMID 32477161 DOI: 10.3389/Fphys.2020.00447  0.313
2020 Yang R, Bogdan P. Controlling the Multifractal Generating Measures of Complex Networks. Scientific Reports. 10: 5541. PMID 32218468 DOI: 10.1038/S41598-020-62380-6  0.421
2020 Znaidi MR, Gupta G, Asgari K, Bogdan P. Identifying Arguments of Space-Time Fractional Diffusion: Data-Driven Approach Frontiers in Applied Mathematics and Statistics. 6. DOI: 10.3389/Fams.2020.00014  0.336
2019 Bogdan P. Taming the Unknown Unknowns in Complex Systems: Challenges and Opportunities for Modeling, Analysis and Control of Complex (Biological) Collectives. Frontiers in Physiology. 10: 1452. PMID 31849703 DOI: 10.3389/Fphys.2019.01452  0.366
2019 Sia J, Jonckheere E, Bogdan P. Ollivier-Ricci Curvature-Based Method to Community Detection in Complex Networks. Scientific Reports. 9: 9800. PMID 31278341 DOI: 10.1038/S41598-019-46079-X  0.445
2019 Xue Y, Bogdan P. Reconstructing missing complex networks against adversarial interventions. Nature Communications. 10: 1738. PMID 30988308 DOI: 10.1038/S41467-019-09774-X  0.384
2019 Cao Q, Ramos G, Bogdan P, Pequito S. The Actuation Spectrum Of Spatiotemporal Networks With Power-Law Time Dependencies Advances in Complex Systems. 22: 1950023. DOI: 10.1142/S0219525919500231  0.378
2019 Xiao Y, Nazarian S, Bogdan P. Self-Optimizing and Self-Programming Computing Systems: A Combined Compiler, Complex Networks, and Machine Learning Approach Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 27: 1416-1427. DOI: 10.1109/Tvlsi.2019.2897650  0.392
2019 Doppa JR, Rosca J, Bogdan P. Autonomous Design Space Exploration of Computing Systems for Sustainability: Opportunities and Challenges Ieee Design & Test. 36: 35-43. DOI: 10.1109/Mdat.2019.2932894  0.317
2019 Doppa J, Rosca J, Bogdan P. Guest Editors’ Introduction: Special Issue on Smart and Autonomous Systems for Sustainability Ieee Design & Test. 36: 5-6. DOI: 10.1109/Mdat.2019.2932186  0.316
2018 Ghorbani M, Jonckheere EA, Bogdan P. Gene Expression Is Not Random: Scaling, Long-Range Cross-Dependence, and Fractal Characteristics of Gene Regulatory Networks. Frontiers in Physiology. 9: 1446. PMID 30459629 DOI: 10.3389/Fphys.2018.01446  0.309
2018 Sun M, Xue Y, Bogdan P, Tang J, Wang Y, Lin X. Hierarchical and hybrid energy storage devices in data centers: Architecture, control and provisioning. Plos One. 13: e0191450. PMID 29351553 DOI: 10.1371/Journal.Pone.0191450  0.355
2018 Li X, Duraisamy K, Bogdan P, Doppa JR, Pande PP. Scalable Network-on-Chip Architectures for Brain–Machine Interface Applications Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 1895-1907. DOI: 10.1109/Tvlsi.2018.2843282  0.434
2018 Tzoumas V, Xue Y, Pequito S, Bogdan P, Pappas GJ. Selecting Sensors in Biological Fractional-Order Systems Ieee Transactions On Control of Network Systems. 5: 709-721. DOI: 10.1109/Tcns.2018.2809959  0.323
2017 Koorehdavoudi H, Bogdan P, Wei G, Marculescu R, Zhuang J, Carlsen RW, Sitti M. Multi-fractal characterization of bacterial swimming dynamics: a case study on real and simulated Serratia marcescens. Proceedings. Mathematical, Physical, and Engineering Sciences. 473: 20170154. PMID 28804259 DOI: 10.1098/Rspa.2017.0154  0.553
2017 Xue Y, Bogdan P. Reliable Multi-Fractal Characterization of Weighted Complex Networks: Algorithms and Implications. Scientific Reports. 7: 7487. PMID 28790321 DOI: 10.1038/S41598-017-07209-5  0.413
2017 Xue Y, Li J, Nazarian S, Bogdan P. Fundamental Challenges Toward Making the IoT a Reachable Reality Acm Transactions On Design Automation of Electronic Systems. 22: 1-25. DOI: 10.1145/3001934  0.417
2017 Li X, Duraisamy K, Baylon J, Majumder T, Wei G, Bogdan P, Heo D, Pande PP. A Reconfigurable Wireless NoC for Large Scale Microbiome Community Analysis Ieee Transactions On Computers. 66: 1653-1666. DOI: 10.1109/Tc.2017.2706278  0.388
2017 Bogdan P, Jonckheere E, Schirmer S. Multi-fractal geometry of finite networks of spins: Nonequilibrium dynamics beyond thermalization and many-body-localization Chaos, Solitons & Fractals. 103: 622-631. DOI: 10.1016/J.Chaos.2017.07.008  0.307
2016 Udrescu L, Sbârcea L, Topîrceanu A, Iovanovici A, Kurunczi L, Bogdan P, Udrescu M. Clustering drug-drug interaction networks with energy model layouts: community analysis and drug repurposing. Scientific Reports. 6: 32745. PMID 27599720 DOI: 10.1038/Srep32745  0.309
2016 Koorehdavoudi H, Bogdan P. A Statistical Physics Characterization of the Complex Systems Dynamics: Quantifying Complexity from Spatio-Temporal Interactions. Scientific Reports. 6: 27602. PMID 27297496 DOI: 10.1038/Srep27602  0.304
2016 Qian Z, Bogdan P, Tsui CY, Marculescu R. Performance evaluation of NoC-based multicore systems: From traffic analysis to NoC latency modeling Acm Transactions On Design Automation of Electronic Systems. 21. DOI: 10.1145/2870633  0.622
2016 Duraisamy K, Xue Y, Bogdan P, Pande PP. Multicast-Aware High-Performance Wireless Network-on-Chip Architectures Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2016.2612647  0.479
2016 Li X, Duraisamy K, Bogdan P, Majumder T, Pande PP. Network-on-Chip-Enabled Multicore Platforms for Parallel Model Predictive Control Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2016.2528121  0.459
2016 Qian ZL, Juan DC, Bogdan P, Tsui CY, Marculescu D, Marculescu R. A Support Vector Regression (SVR)-based latency model for Network-on-Chip (NoC) architectures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 35: 471-484. DOI: 10.1109/Tcad.2015.2474393  0.621
2016 Bogdan P, Xue Y. Mathematical models and control algorithms for dynamic optimization of multicore platforms: A complex dynamics approach 2015 Ieee/Acm International Conference On Computer-Aided Design, Iccad 2015. 170-175. DOI: 10.1109/ICCAD.2015.7372566  0.301
2015 Bogdan P, Majumder T, Ramanathan A, Xue Y. NoC architectures as enablers of biological discovery for personalized and precision medicine Proceedings - 2015 9th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2015. DOI: 10.1145/2786572.2788706  0.333
2015 Bogdan P. Mathematical modeling and control of multifractal workloads for data-center-on-a-chip optimization Proceedings - 2015 9th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2015. DOI: 10.1145/2786572.2786592  0.34
2015 Xue Y, Bogdan P. User cooperation network coding approach for NoC performance improvement Proceedings - 2015 9th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2015. DOI: 10.1145/2786572.2786575  0.333
2015 David R, Bogdan P, Marculescu R. Dynamic power management for multicores: Case study using the intel SCC Ieee/Ifip International Conference On Vlsi and System-On-Chip, Vlsi-Soc. 7: 147-152. DOI: 10.1109/VLSI-SoC.2012.7332092  0.508
2015 Xue Y, Qian Z, Wei G, Bogdan P, Tsui CY, Marculescu R. An efficient network-on-chip (NoC) based multicore platform for hierarchical parallel genetic algorithms Proceedings - 2014 8th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2014. 17-24. DOI: 10.1109/NOCS.2014.7008757  0.535
2015 Bogdan P, Mangharam R. Guest Editors' Introduction: Cyber-physical systems for medical applications Ieee Design and Test. 32: 6-8. DOI: 10.1109/Mdat.2015.2468452  0.354
2015 Bogdan P. Workload modeling and its implications on data-center-on-a-chip optimization: From mathematical models to control algorithms Proceedings - 2015 20th International Conference On Control Systems and Computer Science, Cscs 2015. 1001. DOI: 10.1109/CSCS.2015.142  0.31
2015 Majumder T, Li X, Bogdan P, Pande P. NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions Proceedings -Design, Automation and Test in Europe, Date. 2015: 1102-1107.  0.306
2015 Bogdan P. A cyber-physical systems approach to personalized medicine: Challenges and opportunities for NoC-based multicore platforms Proceedings -Design, Automation and Test in Europe, Date. 2015: 253-258.  0.329
2014 Zhuang J, Wei G, Wright Carlsen R, Edwards MR, Marculescu R, Bogdan P, Sitti M. Analytical modeling and experimental characterization of chemotaxis in Serratia marcescens. Physical Review. E, Statistical, Nonlinear, and Soft Matter Physics. 89: 052704. PMID 25353826 DOI: 10.1103/Physreve.89.052704  0.533
2014 Bogdan P, Deasy BM, Gharaibeh B, Roehrs T, Marculescu R. Heterogeneous structure of stem cells dynamics: statistical models and quantitative predictions. Scientific Reports. 4: 4826. PMID 24769917 DOI: 10.1038/Srep04826  0.547
2014 Matsutani H, Koibuchi M, Fujiwara I, Kagami T, Take Y, Kuroda T, Bogdan P, Marculescu R, Amano H. Low-latency wireless 3D NoCs via randomized shortcut chips Proceedings -Design, Automation and Test in Europe, Date. DOI: 10.7873/DATE2014.286  0.473
2014 Hollis SJ, Jackson C, Bogdan P, Marculescu R. Exploiting emergence in on-chip interconnects Ieee Transactions On Computers. 63: 570-582. DOI: 10.1109/Tc.2012.273  0.654
2014 Qian Z, Juan DC, Bogdan P, Tsui CY, Marculescu D, Marculescu R. A comprehensive and accurate latency model for Network-on-Chip performance analysis Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 323-328. DOI: 10.1109/ASPDAC.2014.6742910  0.583
2013 Bogdan P, Marculescu R, Jain S. Dynamic power management for multidomain system-on-chip platforms: An optimal control approach Acm Transactions On Design Automation of Electronic Systems. 18. DOI: 10.1145/2504904  0.622
2013 Bogdan P, Jain S, Marculescu R. Pacemaker control of heart rate variability: A cyber physical system perspective Transactions On Embedded Computing Systems. 12. DOI: 10.1145/2435227.2435246  0.553
2013 Wei G, Bogdan P, Marculescu R. Bumpy rides: Modeling the dynamics of chemotactic interacting bacteria Ieee Journal On Selected Areas in Communications. 31: 879-890. DOI: 10.1109/Jsac.2013.Sup2.12130020  0.642
2013 Wei G, Bogdan P, Marculescu R. Efficient modeling and simulation of bacteria-based nanonetworks with BNSim Ieee Journal On Selected Areas in Communications. 31: 868-878. DOI: 10.1109/Jsac.2013.Sup2.12130019  0.622
2013 Qian Z, Bogdan P, Tsui CY, Marculescu R. Performance evaluation of multicore systems: From traffic analysis to latency predictions (Embedded tutorial) Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 82-84. DOI: 10.1109/ICCAD.2013.6691101  0.502
2013 Ghorbani M, Bogdan P. A cyber-physical system approach to artificial pancreas design 2013 International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2013. DOI: 10.1109/CODES-ISSS.2013.6659004  0.309
2013 Matsutani H, Bogdan P, Marculescu R, Take Y, Sasaki D, Zhang H, Koibuchi M, Kuroda T, Amano H. A case for wireless 3D NoCs for CMPs Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 23-28. DOI: 10.1109/ASPDAC.2013.6509553  0.566
2013 Qian Z, Juan DC, Bogdan P, Tsui CY, Marculescu D, Marculescu R. SVR-NoC: A performance analysis tool for network-on-chips using learning-based support vector regression model Proceedings -Design, Automation and Test in Europe, Date. 354-357.  0.548
2012 Qian Z, Bogdan P, Wei G, Tsui CY, Marculescu R. A traffic-aware adaptive routing algorithm on a highly reconfigurable Network-on-Chip architecture Codes+Isss'12 - Proceedings of the 10th Acm International Conference On Hardware/Software-Codesign and System Synthesis, Co-Located With Esweek. 161-170. DOI: 10.1145/2380445.2380475  0.598
2012 Bogdan P, Marculescu R, Jain S, Gavila RT. An optimal control approach to power management for multi-voltage and frequency islands multiprocessor platforms under highly variable workloads Proceedings of the 2012 6th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2012. 35-42. DOI: 10.1109/NOCS.2012.32  0.583
2012 Bogdan P, Jain S, Goyal K, Marculescu R. Implantable pacemakers control and optimization via fractional calculus approaches: A cyber-physical systems perspective Proceedings - 2012 Ieee/Acm 3rd International Conference On Cyber-Physical Systems, Iccps 2012. 23-32. DOI: 10.1109/ICCPS.2012.11  0.53
2012 Bogdan P, Wei G, Marculescu R. Modeling populations of micro-robots for biological applications Ieee International Conference On Communications. 6188-6192. DOI: 10.1109/ICC.2012.6364979  0.526
2011 Wei G, Bogdan P, Marculescu R. A software framework for trace analysis targeting multicore platforms design Nocs 2011: the 5th Acm/Ieee International Symposium On Networks-On-Chip. 259-260. DOI: 10.1145/1999946.1999990  0.591
2011 David R, Bogdan P, Marculescu R, Ogras U. Dynamic power management of voltage-frequency island partitioned networks-on-chip using intel's sing-chip cloud computer Nocs 2011: the 5th Acm/Ieee International Symposium On Networks-On-Chip. 257-258. DOI: 10.1145/1999946.1999989  0.588
2011 Bogdan P, Marculescu R. Hitting time analysis for fault-tolerant communication at nanoscale in future multiprocessor platforms Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1197-1210. DOI: 10.1109/Tcad.2011.2138430  0.584
2011 Bogdan P, Marculescu R. Non-stationary traffic analysis and its implications on multicore platform design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 508-519. DOI: 10.1109/Tcad.2011.2111270  0.676
2011 Bogdan P, Marculescu R. Cyberphysical systems: Workload modeling and design optimization Ieee Design and Test of Computers. 28: 78-87. DOI: 10.1109/Mdt.2010.142  0.594
2011 Bogdan P, Marculescu R. Towards a science of cyber-physical systems design Proceedings - 2011 Ieee/Acm 2nd International Conference On Cyber-Physical Systems, Iccps 2011. 99-108. DOI: 10.1109/ICCPS.2011.14  0.572
2011 Bevilacqua C, Bogdan P, Marculescu R. Exploring congestion phase transitions in vehicular traffic via topology and driver behavior modeling 2011 Ieee Forum On Integrated and Sustainable Transportation Systems, Fists 2011. 115-121. DOI: 10.1109/FISTS.2011.5973652  0.521
2011 Bogdan P, Marculescu R. A fractional calculus approach to modeling fractal dynamic games Proceedings of the Ieee Conference On Decision and Control. 255-260. DOI: 10.1109/CDC.2011.6161323  0.55
2011 Pande P, Clermidy F, Puschini D, Mansouri I, Bogdan P, Marculescu R, Ganguly A. Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms? Proceedings -Design, Automation and Test in Europe, Date. 1656-1661.  0.543
2010 Bogdan P, Marculescu R. Workload characterization and its impact on multicore platform design 2010 Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2010. 231-240. DOI: 10.1145/1878961.1879003  0.653
2010 Ogras UY, Bogdan P, Marculescu R. An analytical approach for network-on-chip performance analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 2001-2013. DOI: 10.1109/Tcad.2010.2061613  0.65
2010 Bogdan P, Kas M, Marculescu R, Mutlu O. QuaLe: A quantum-leap inspired model for non-stationary analysis of NoC traffic in chip multi-processors Nocs 2010 - the 4th Acm/Ieee International Symposium On Networks-On-Chip. 241-248. DOI: 10.1109/NOCS.2010.34  0.598
2010 Bogdan P, Marculescu R. Workload characterization and its impact on multicore platform design 2010 Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2010. 231-240.  0.444
2009 Bogdan P, Marculescu R. Statistical physics approaches for network-on-chip traffic characterization Embedded Systems Week 2009 - 7th Ieee/Acm International Conference On Hardware/Software-Co-Design and System Synthesis, Codes+Isss 2009. 461-469. DOI: 10.1145/1629435.1629498  0.63
2009 Bogdan P, Marculescu R. Hitting time analysis for stochastic communication Lecture Notes of the Institute For Computer Sciences, Social-Informatics and Telecommunications Engineering. 3: 39-43. DOI: 10.1007/978-3-642-02427-6_8  0.525
2007 Marculescu R, Bogdan P. The Chip is the network: Toward a science of network-on-chip design Foundations and Trends in Electronic Design Automation. 2: 371-461. DOI: 10.1561/1000000011  0.635
2007 Bogdan P, Dumitraş T, Marculescu R. Stochastic communication: A new paradigm for fault-tolerant networks-on-chip Vlsi Design. 2007. DOI: 10.1155/2007/95348  0.597
2007 Bogdan P, Marculescu R. Quantum-like effects in network-on-chip buffers behavior Proceedings - Design Automation Conference. 266-267. DOI: 10.1109/DAC.2007.375166  0.561
2006 Bogdan P, Marculescu R. A theoretical framework for on-chip stochastic communication analysis 2006 1st International Conference On Nano-Networks and Workshops, Nano-Net. DOI: 10.1109/NANONET.2006.346230  0.548
Show low-probability matches.