cached image

Aydin Babakhani - Publications

Affiliations: 
Electrical and Computer Engineering Rice University, Houston, TX 
Area:
Integrated Circuits, Antennas, RF, Millimeter-Wave, THz
Website:
http://www.ece.rice.edu/babakhani.aspx

60 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2022 Habibagahi I, Mathews RP, Ray A, Babakhani A. Design and Implementation of Multisite Stimulation System Using a Double-Tuned Transmitter Coil and Miniaturized Implants. Ieee Microwave and Wireless Technology Letters. 33: 351-354. PMID 37025623 DOI: 10.1109/lmwc.2022.3217519  0.344
2021 Rahmani H, Babakhani A. A Wirelessly Powered Reconfigurable FDD Radio With On-Chip Antennas for Multi-Site Neural Interfaces Ieee Journal of Solid-State Circuits. 56: 3177-3190. DOI: 10.1109/JSSC.2021.3076014  0.406
2020 Lyu H, Wang Z, Babakhani A. A UHF/UWB Hybrid RFID Tag With a 51-m Energy-Harvesting Sensitivity for Remote Vital-Sign Monitoring. Ieee Transactions On Microwave Theory and Techniques. 68: 4886-4895. PMID 36337340 DOI: 10.1109/tmtt.2020.3017674  0.325
2020 Lyu H, John M, Burkland D, Greet B, Post A, Babakhani A, Razavi M. Synchronized Biventricular Heart Pacing in a Closed-chest Porcine Model based on Wirelessly Powered Leadless Pacemakers. Scientific Reports. 10: 2067. PMID 32034237 DOI: 10.1038/S41598-020-59017-Z  0.344
2020 Jamali B, Babakhani A. Wireless Time Transfer With Subpicosecond Accuracy Based on a Fully Integrated Injection-Locked Picosecond Pulse Detector Ieee Transactions On Microwave Theory and Techniques. 68: 160-169. DOI: 10.1109/Tmtt.2019.2934452  0.542
2019 Chen P, Hosseini M, Babakhani A. An Integrated Germanium-Based THz Impulse Radiator with an Optical Waveguide Coupled Photoconductive Switch in Silicon. Micromachines. 10. PMID 31159233 DOI: 10.3390/Mi10060367  0.417
2019 Lyu H, Liu X, Babakhani A. A 100-M/s 2.6-pJ/pulse compact UWB impulse transmitter based on antenna-and-pulse-generator codesign Ieice Electronics Express. 16: 20190672-20190672. DOI: 10.1587/Elex.16.20190672  0.374
2019 Jamali B, Babakhani A. A Fully Integrated 50–280-GHz Frequency Comb Detector for Coherent Broadband Sensing Ieee Transactions On Terahertz Science and Technology. 9: 613-623. DOI: 10.1109/Tthz.2019.2944129  0.533
2019 Rahmani H, Babakhani A. A Dual-Mode RF Power Harvesting System With an On-Chip Coil in 180-nm SOI CMOS for Millimeter-Sized Biomedical Implants Ieee Transactions On Microwave Theory and Techniques. 67: 414-428. DOI: 10.1109/Tmtt.2018.2876239  0.354
2019 Sun Y, Babakhani A. A Wirelessly Powered Injection-Locked Oscillator With On-Chip Antennas in 180-nm SOI CMOS for Spectroscopy Application Ieee Sensors Letters. 3: 1-4. DOI: 10.1109/LSENS.2019.2926640  0.46
2019 Lyu H, Liu X, Sun Y, Jian Z, Babakhani A. A 915-MHz Far-Field Energy Harvester With −22-dBm Sensitivity and 3-V Output Voltage Based on Antenna-and- Rectifier Codesign Ieee Microwave and Wireless Components Letters. 29: 557-559. DOI: 10.1109/Lmwc.2019.2923685  0.52
2019 Sun Y, Babakhani A. Wirelessly-Powered Dielectric Sensor With On-Chip Antennas in 180 nm SOI CMOS Process Ieee Sensors Journal. 19: 2613-2620. DOI: 10.1109/Jsen.2018.2888620  0.556
2019 Amram Bengio E, Senic D, Taylor LW, Headrick RJ, King M, Chen P, Little CA, Ladbury J, Long CJ, Holloway CL, Babakhani A, Booth JC, Orloff ND, Pasquali M. Carbon nanotube thin film patch antennas for wireless communications Applied Physics Letters. 114: 203102. DOI: 10.1063/1.5093327  0.478
2018 Lyu H, Gad P, Zhong H, Edgerton VR, Babakhani A. A 430-MHz Wirelessly Powered Implantable Pulse Generator with Intensity/Rate Control and Sub-1 A Quiescent Current Consumption. Ieee Transactions On Biomedical Circuits and Systems. PMID 30418917 DOI: 10.1109/Tbcas.2018.2879357  0.37
2018 Lyu H, Wang J, La JH, Chung JM, Babakhani A. An Energy-Efficient Wirelessly Powered Millimeter-Scale Neurostimulator Implant Based on Systematic Codesign of an Inductive Loop Antenna and a Custom Rectifier. Ieee Transactions On Biomedical Circuits and Systems. PMID 30040661 DOI: 10.1109/Tbcas.2018.2852680  0.446
2018 Jamali B, Babakhani A. A Self-Mixing Picosecond Impulse Receiver With an On-Chip Antenna for High-Speed Wireless Clock Synchronization Ieee Transactions On Microwave Theory and Techniques. 66: 2313-2324. DOI: 10.1109/Tmtt.2018.2799843  0.454
2017 Chen P, Assefzadeh MM, Babakhani A. Time-Domain Characterization of Silicon-Based Integrated Picosecond Impulse Radiators Ieee Transactions On Terahertz Science and Technology. 7: 599-608. DOI: 10.1109/Tthz.2017.2726346  0.313
2017 Chen P, Babakhani A. 3-D Radar Imaging Based on a Synthetic Array of 30-GHz Impulse Radiators With On-Chip Antennas in 130-nm SiGe BiCMOS Ieee Transactions On Microwave Theory and Techniques. 65: 4373-4384. DOI: 10.1109/Tmtt.2017.2694826  0.437
2017 Chen C, Babakhani A. Wireless Synchronization and Spatial Combining of Widely Spaced mm-Wave Arrays in 65-nm CMOS Ieee Transactions On Microwave Theory and Techniques. 65: 4418-4427. DOI: 10.1109/Tmtt.2017.2689740  0.626
2017 Assefzadeh MM, Babakhani A. Broadband Oscillator-Free THz Pulse Generation and Radiation Based on Direct Digital-to-Impulse Architecture Ieee Journal of Solid-State Circuits. 52: 2905-2919. DOI: 10.1109/Jssc.2017.2739180  0.417
2017 Amram Bengio E, Senic D, Taylor LW, Tsentalovich DE, Chen P, Holloway CL, Babakhani A, Long CJ, Novotny DR, Booth JC, Orloff ND, Pasquali M. High efficiency carbon nanotube thread antennas Applied Physics Letters. 111: 163109. DOI: 10.1063/1.4991822  0.454
2016 Chen P, Assefzadeh MM, Babakhani A. A Nonlinear Q-Switching Impedance Technique for Picosecond Pulse Radiation in Silicon Ieee Transactions On Microwave Theory and Techniques. 64: 4685-4700. DOI: 10.1109/Tmtt.2016.2623700  0.412
2016 Assefzadeh MM, Babakhani A. Picosecond digital-to-impulse generator in Silicon Sirf 2016 - 2016 Ieee 16th Topical Meeting On Silicon Monolithic Integrated Circuits in Rf Systems. 101-103. DOI: 10.1109/SIRF.2016.7445480  0.305
2016 Jamali B, Babakhani A. A 0.2-2.6GHz instantaneous frequency-to-voltage converter in 90nm CMOS Ieee Radio and Wireless Symposium, Rws. 2016: 45-47. DOI: 10.1109/RWS.2016.7444360  0.302
2016 Aggrawal H, Chen P, Assefzadeh MM, Jamali B, Babakhani A. Gone in a Picosecond: Techniques for the Generation and Detection of Picosecond Pulses and Their Applications Ieee Microwave Magazine. 17: 24-38. DOI: 10.1109/Mmm.2016.2608764  0.437
2016 Yang X, Babakhani A. A Full-Duplex Single-Chip Transceiver With Self-Interference Cancellation in 0.13 $\mu$ m SiGe BiCMOS for Electron Paramagnetic Resonance Spectroscopy Ieee Journal of Solid-State Circuits. 51: 2408-2419. DOI: 10.1109/Jssc.2016.2592998  0.483
2015 Yang X, Babakhani A. A Single-Chip Electron Paramagnetic Resonance Transceiver in 0.13-μ m SiGe BiCMOS Ieee Transactions On Microwave Theory and Techniques. 63: 3727-3735. DOI: 10.1109/Tmtt.2015.2481895  0.395
2015 Chen P, Babakhani A. A 30GHz impulse radiator with on-chip antennas for high-resolution 3D imaging Ieee Radio and Wireless Symposium, Rws. 2015: 32-34. DOI: 10.1109/RWS.2015.7129717  0.367
2015 Yang X, Babakhani A. A 4.6-5.35GHz transceiver with 38dB on-chip self-interference cancelation at 10kHz offset frequency Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 2015: 239-242. DOI: 10.1109/RFIC.2015.7337749  0.382
2015 Assefzadeh M, Babakhani A. Picosecond impulse radiating arrays in silicon Irmmw-Thz 2015 - 40th International Conference On Infrared, Millimeter, and Terahertz Waves. DOI: 10.1109/IRMMW-THz.2015.7327613  0.391
2015 Chen C, Babakhani A. Wireless synchronization of mm-wave arrays in 65nm CMOS Proceedings of the Custom Integrated Circuits Conference. 2015. DOI: 10.1109/CICC.2015.7338450  0.513
2014 Aggrawal H, Babakhani A. A 40GS/s Track-and-Hold amplifier with 62dB SFDR3 in 45nm CMOS SOI Ieee Mtt-S International Microwave Symposium Digest. DOI: 10.1109/MWSYM.2014.6848630  0.308
2014 Mahdi Assefzadeh M, Babakhani A. An 8-psec 13dBm peak EIRP digital-to-impulse radiator with an on-chip slot bow-tie antenna in silicon Ieee Mtt-S International Microwave Symposium Digest. DOI: 10.1109/MWSYM.2014.6848579  0.368
2014 Yang X, Lu X, Babakhani A. Picosecond wireless synchronization using an Optically Locked Voltage Controlled Oscillator (OL-VCO) Ieee Mtt-S International Microwave Symposium Digest. DOI: 10.1109/MWSYM.2014.6848566  0.306
2014 Yang X, Lu X, Babakhani A. A Free-space optically locked VCO with picosecond timing jitter in 0.18μm CMOS Ieee Photonics Technology Letters. 26: 1180-1183. DOI: 10.1109/Lpt.2014.2316802  0.417
2013 Plouchart JO, Ferriss MA, Natarajan AS, Valdes-Garcia A, Sadhu B, Rylyakov A, Parker BD, Beakes M, Babakhani A, Yaldiz S, Pileggi L, Harjani R, Reynolds S, Tierno JA, Friedman D. A 23.5 GHz PLL with an adaptively biased VCO in 32 nm SOI-CMOS Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2009-2017. DOI: 10.1109/Tcsi.2013.2265961  0.435
2013 Sadhu B, Ferriss MA, Natarajan AS, Yaldiz S, Plouchart J, Rylyakov AV, Valdes-Garcia A, Parker BD, Babakhani A, Reynolds S, Li X, Pileggi L, Harjani R, Tierno JA, Friedman D. Correction to “A Linearized, Low Phase Noise VCO Based 25 GHz PLL With Autonomic Biasing” Ieee Journal of Solid-State Circuits. 48: 1539-1539. DOI: 10.1109/Jssc.2013.2263875  0.322
2013 Sadhu B, Ferriss MA, Natarajan AS, Yaldiz S, Plouchart JO, Rylyakov AV, Valdes-Garcia A, Parker BD, Babakhani A, Reynolds S, Li X, Pileggi L, Harjani R, Tierno JA, Friedman D. A linearized, low-phase-noise VCO-based 25-GHz PLL with autonomic biasing Ieee Journal of Solid-State Circuits. 48: 1138-1150. DOI: 10.1109/Jssc.2013.2252513  0.496
2013 Ferriss M, Plouchart JO, Natarajan A, Rylyakov A, Parker B, Tierno JA, Babakhani A, Yaldiz S, Valdes-Garcia A, Sadhu B, Friedman DJ. An integral path self-calibration scheme for a dual-loop PLL Ieee Journal of Solid-State Circuits. 48: 996-1008. DOI: 10.1109/Jssc.2013.2239114  0.647
2012 Sadhu B, Ferriss MA, Plouchart JO, Natarajan AS, Rylyakov AV, Valdes-Garcia A, Parker BD, Reynolds S, Babakhani A, Yaldiz S, Pileggi L, Harjani R, Tierno J, Friedman D. A 21.8-27.5GHz PLL in 32nm SOI using G m linearization to achieve -130dBc/Hz phase noise at 10MHz offset from a 22GHz carrier Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 75-78. DOI: 10.1109/RFIC.2012.6242235  0.364
2011 Lavaei J, Babakhani A, Hajimiri A, Doyle JC. Solving large-scale hybrid circuit-antenna problems Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 374-387. DOI: 10.1109/Tcsi.2010.2072010  0.582
2010 Lavaei J, Babakhani A, Hajimiri A, Doyle JC. Passively controllable smart antennas Globecom - Ieee Global Telecommunications Conference. DOI: 10.1109/GLOCOM.2010.5684358  0.62
2010 Babakhani A, Lavaei J, Doyle JC, Hajimiri A. Finding globally optimum solutions in antenna optimization problems 2010 Ieee International Symposium On Antennas and Propagation and Cnc-Usnc/Ursi Radio Science Meeting - Leading the Wave, Ap-S/Ursi 2010. DOI: 10.1109/APS.2010.5561993  0.566
2010 Lavaei J, Babakhani A, Hajimiri A, Doyle JC. A study of near-field direct antenna modulation systems using convex optimization Proceedings of the 2010 American Control Conference, Acc 2010. 1065-1072.  0.607
2009 Babakhani A, Rutledge D, Hajimiri A. Near-field direct antenna modulation Ieee Microwave Magazine. 10: 36-46. DOI: 10.1109/Mmm.2008.930674  0.74
2009 Hassibi A, Babakhani A, Hajimiri A. A spectral-scanning nuclear magnetic resonance imaging (MRI) transceiver Ieee Journal of Solid-State Circuits. 44: 1805-1813. DOI: 10.1109/Jssc.2009.2020456  0.538
2009 Lavaei J, Babakhani A, Hajimiri A, Doyle JC. Solving large-scale linear circuit problems via convex optimization Proceedings of the Ieee Conference On Decision and Control. 4977-4984. DOI: 10.1109/CDC.2009.5400690  0.447
2009 Chang AH, Babakhani A, Hajimiri A. Near-field direct antenna modulation (NFDAM) transmitter at 2.4GHz Ieee Antennas and Propagation Society, Ap-S International Symposium (Digest). DOI: 10.1109/APS.2009.5171550  0.673
2009 Jeon S, Babakhani A, Hajimiri A. Integrated Phased Arrays Advanced Millimeter-Wave Technologies: Antennas, Packaging and Circuits. 597-649. DOI: 10.1002/9780470742969.ch14  0.626
2008 Wang YJ, Jeon S, Babakhani A, Hajimiri A. A 6-to-18 GHz tunable concurrent dual-band receiver front end for scalable phased arrays in 130nm CMOS Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 343-346. DOI: 10.1109/RFIC.2008.4561450  0.657
2008 Babakhani A, Rutledge DB, Hajimiri A. Transmitter architectures based on near-field direct antenna modulation Ieee Journal of Solid-State Circuits. 43: 2674-2692. DOI: 10.1109/Jssc.2008.2004864  0.752
2008 Jeon S, Wang YJ, Wang H, Bohn F, Natarajan A, Babakhani A, Hajimiri A. A scalable 6-to-18 GHz concurrent dual-band quad-beam phased-array receiver in CMOS Ieee Journal of Solid-State Circuits. 43: 2660-2673. DOI: 10.1109/Jssc.2008.2004863  0.809
2008 Babakhani A, Rutledge DB, Hajimiri A. A near-field modulation technique using antenna reflector switching Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 51: 188-189+179+605. DOI: 10.1109/ISSCC.2008.4523120  0.719
2008 Jeon S, Wang YJ, Wang H, Bohn F, Natarajan A, Babakhani A, Hajimiri A. A scalable 6-to-18GHz concurrent dual-band quad-beam phased-array receiver in CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 51. DOI: 10.1109/ISSCC.2008.4523119  0.717
2007 Hassibi A, Babakhani A, Hajimiri A. A Spectral-Scanning Magnetic Resonance Imaging (MRI) Integrated System Proceedings of the Ieee 2007 Custom Integrated Circuits Conference, Cicc 2007. 123-126. DOI: 10.1109/CICC.2007.4405695  0.503
2007 Babakhani A, Rutledge DB, Hajimiri A. mm-Wave phased arrays in silicon with integrated antennas Ieee Antennas and Propagation Society, Ap-S International Symposium (Digest). 4369-4372. DOI: 10.1109/APS.2007.4396510  0.758
2006 Buckwalter JF, Babakhani A, Komijani A, Hajimiri A. An integrated subharmonic coupled-oscillator scheme for a 60-GHz phased-array transmitter Ieee Transactions On Microwave Theory and Techniques. 54: 4271-4279. DOI: 10.1109/TMTT.2006.885581  0.815
2006 Buckwalter JF, Babakhani A, Komijani A, Hajimiri A. Quadrature subharmonic coupled oscillators for a 60GHz SiGe scalable phased array Ieee Mtt-S International Microwave Symposium Digest. 822-825. DOI: 10.1109/MWSYM.2006.249799  0.821
2006 Natarajan A, Komijani A, Guan X, Babakhani A, Hajimiri A. A 77-GHz phased-array transceiver with on-chip antennas in silicon: Transmitter and local LO-path phase shifting Ieee Journal of Solid-State Circuits. 41: 2807-2818. DOI: 10.1109/Jssc.2006.884817  0.782
2006 Babakhani A, Guan X, Komijani A, Natarajan A, Hajimiri A. A 77-GHz phased-array transceiver with on-chip antennas in silicon: Receiver and antennas Ieee Journal of Solid-State Circuits. 41: 2795-2805. DOI: 10.1109/Jssc.2006.884811  0.792
Show low-probability matches.