Robert L. Bruce - Publications

Affiliations: 
2010 University of Maryland, College Park, College Park, MD 

54 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Pranda A, Lin K, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Significance of plasma-photoresist interactions for atomic layer etching processes with extreme ultraviolet photoresist Journal of Vacuum Science and Technology. 38: 52601. DOI: 10.1116/6.0000289  0.812
2020 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247  0.816
2018 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291  0.811
2018 Marchack N, Miyazoe H, Bruce RL, Tsai H, Nakamura M, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons. II. Evaluation of C4H9F for tight pitch Si3N4 patterning applications Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 031801. DOI: 10.1116/1.5020069  0.783
2018 Miyazoe H, Marchack N, Bruce RL, Zhu Y, Nakamura M, Miller E, Kanakasabapathy S, Suzuki T, Ito A, Matsumoto H, Engelmann SU, Joseph EA. Nitride etching with hydrofluorocarbons III: Comparison of C4H9F and CH3F for low-k′ nitride spacer etch processes Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 36: 032201. DOI: 10.1116/1.5019016  0.819
2018 Chien W, Yeh C, Bruce RL, Cheng H, Kuo IT, Yang C, Ray A, Miyazoe H, Kim W, Carta F, Lai E, BrightSky MJ, Lung H. A Study on OTS-PCM Pillar Cell for 3-D Stackable Memory Ieee Transactions On Electron Devices. 65: 5172-5179. DOI: 10.1109/Ted.2018.2871197  0.326
2018 Knoll AJ, Luan P, Pranda A, Bruce RL, Oehrlein GS. Polymer etching by atmospheric-pressure plasma jet and surface micro-discharge sources: Activation energy analysis and etching directionality Plasma Processes and Polymers. 15: 1700217. DOI: 10.1002/Ppap.201700217  0.792
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458  0.863
2017 Wang C, Nam SW, Cotte JM, Jahnes CV, Colgan EG, Bruce RL, Brink M, Lofaro MF, Patel JV, Gignac LM, Joseph EA, Rao SP, Stolovitzky G, Polonsky S, Lin Q. Wafer-scale integration of sacrificial nanofluidic chips for detecting and manipulating single DNA molecules. Nature Communications. 8: 14243. PMID 28112157 DOI: 10.1038/Ncomms14243  0.64
2017 Glodde M, Bruce RL, Hopstaken MJP, Saccomanno MR, Felix N, Petrillo KE, Price B. Unexpected impact of RIE gases on lithographic films Proceedings of Spie. 10146. DOI: 10.1117/12.2258012  0.462
2017 Bruce RL, Fraczak G, Papalia JM, Tsai H, BrightSky M, Miyazoe H, Zhu Y, Engelmann SU, Lung H, Masuda T, Suu K, Liu C, Tang H, Arnold JC, Felix N, et al. Directed self-assembly patterning strategies for phase change memory applications Proceedings of Spie. 10149. DOI: 10.1117/12.2257829  0.707
2017 Engelmann SU, Bruce RL, Joseph EA, Fuller NCM, Graham WS, Sikorski EM, Kohjasteh M, Zhu Y, Nakamura M, Ito A, Matsumoto H, Matsuura G, Suzuki T. Nitride etching with hydrofluorocarbons. I. Selective etching of nitride over silicon and oxide materials by gas discharge optimization and selective deposition of fluorocarbon polymer Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 35: 051803. DOI: 10.1116/1.5003824  0.818
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Chemical Physics. 146. DOI: 10.1063/1.4961458  0.865
2016 Wunsch BH, Smith JT, Gifford SM, Wang C, Brink M, Bruce RL, Austin RH, Stolovitzky G, Astier Y. Nanoscale lateral displacement arrays for the separation of exosomes and colloids down to 20 nm. Nature Nanotechnology. PMID 27479757 DOI: 10.1038/Nnano.2016.134  0.315
2016 Papalia J, Marchack N, Bruce R, Miyazoe H, Engelmann S, Joseph EA. Applications for surface engineering using atomic layer Etching Solid State Phenomena. 255: 41-48. DOI: 10.4028/Www.Scientific.Net/Ssp.255.41  0.828
2016 Barwicz T, Martin Y, Nah JW, Kamlapurkar S, Bruce RL, Engelmann S, Vlasov YA. Demonstration of self-aligned flip-chip photonic assembly with 1.1dB loss and > 120nm bandwidth Frontiers in Optics. DOI: 10.1364/Fio.2016.Ff5F.3  0.62
2016 Papalia JM, Marchack N, Bruce RL, Miyazoe H, Engelmann SU, Joseph EA. Evaluation of ALE processes for patterning Proceedings of Spie - the International Society For Optical Engineering. 9782. DOI: 10.1117/12.2219280  0.811
2016 Metzler D, Weilnboeck F, Engelmann S, Bruce RL, Oehrlein GS. He plasma pretreatment of organic masking materials for performance improvement during pattern transfer by plasma etching Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 34. DOI: 10.1116/1.4949274  0.829
2016 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462  0.852
2016 Metzler D, Uppireddi K, Bruce RL, Miyazoe H, Zhu Y, Price W, Sikorski ES, Li C, Engelmann SU, Joseph EA, Oehrlein GS. Application of cyclic fluorocarbon/argon discharges to device patterning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935460  0.819
2015 Engelmann SU, Bruce RL, Nakamura M, Metzler D, Walton SG, Joseph EA. Challenges of tailoring surface chemistry and plasma/surface interactions to advance atomic layer etching Ecs Journal of Solid State Science and Technology. 4: N5054-N5060. DOI: 10.1149/2.0101506Jss  0.839
2015 Metzler D, Weilnboeck F, Hernández SC, Walton SG, Bruce RL, Engelmann S, Salamanca-Riba L, Oehrlein GS. Formation of nanometer-thick delaminated amorphous carbon layer by two-step plasma processing of methacrylate-based polymer Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 33. DOI: 10.1116/1.4928493  0.847
2014 Bai J, Wang D, Nam SW, Peng H, Bruce R, Gignac L, Brink M, Kratschmer E, Rossnagel S, Waggoner P, Reuter K, Wang C, Astier Y, Balagurusamy V, Luan B, et al. Fabrication of sub-20 nm nanopore arrays in membranes with embedded metal electrodes at wafer scales. Nanoscale. 6: 8900-6. PMID 24964839 DOI: 10.1039/C3Nr06723H  0.649
2014 Metzler D, Bruce RL, Engelmann S, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma Journal of Vacuum Science and Technology. 32: 20603. DOI: 10.1116/1.4843575  0.859
2014 Majumdar A, Sun Y, Cheng CW, Kim YH, Rana U, Martin RM, Bruce RL, Shiu KT, Zhu Y, Farmer DB, Hopstaken M, Joseph EA, De Souza JP, Frank MM, Cheng SL, et al. CMOS-Compatible self-aligned In0.53Ga0.47As MOSFETs with gate lengths down to 30 nm Ieee Transactions On Electron Devices. 61: 3399-3404. DOI: 10.1109/Ted.2014.2335747  0.639
2013 Joseph EA, Engelmann SU, Miyazoe H, Bruce RL, Nakamura M, Suzuki T, Hoinkis M. Advanced plasma etch for the 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 8685. DOI: 10.1117/12.2015189  0.814
2013 Bruce RL, Engelmann S, Purushothaman S, Volksen W, Frot TJ, Magbitang T, Dubois G, Darnon M. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric Journal of Physics D: Applied Physics. 46. DOI: 10.1088/0022-3727/46/26/265303  0.744
2013 Nakayama D, Wada A, Kubota T, Bruce R, Martin RM, Haass M, Fuller N, Samukawa S. Highly selective silicon nitride etching to Si and SiO2 for a gate sidewall spacer using a CF3I/O2/H2 neutral beam Journal of Physics D: Applied Physics. 46. DOI: 10.1088/0022-3727/46/20/205203  0.4
2012 Luria JL, Hoepker N, Bruce R, Jacobs AR, Groves C, Marohn JA. Spectroscopic imaging of photopotentials and photoinduced potential fluctuations in a bulk heterojunction solar cell film. Acs Nano. 6: 9392-401. PMID 23030548 DOI: 10.1021/Nn300941F  0.301
2012 Engelmann SU, Martin R, Bruce RL, Miyazoe H, Fuller NCM, Graham WS, Sikorski EM, Glodde M, Brink M, Tsai H, Bucchignano J, Klaus D, Kratschmer E, Guillorn MA. Patterning of CMOS device structures for 40-80nm pitches and beyond Proceedings of Spie - the International Society For Optical Engineering. 8328. DOI: 10.1117/12.916447  0.667
2012 Goldfarb DL, Bruce RL, Bucchignano JJ, Klaus DP, Guillorn MA, Wu CJ. Pattern collapse mitigation strategies for EUV lithography Proceedings of Spie. 8322: 832205. DOI: 10.1117/12.915431  0.361
2012 Lin TC, Bruce RL, Oehrlein GS, Phaneuf RJ, Kan HC. Direct and quantitative evidence for buckling instability as a mechanism for roughening of polymer during plasma etching Applied Physics Letters. 100. DOI: 10.1063/1.4718940  0.67
2012 Frot T, Volksen W, Purushothaman S, Bruce RL, Magbitang T, Miller DC, Deline VR, Dubois G. Post Porosity Plasma Protection: Scaling of Efficiency with Porosity Advanced Functional Materials. 22: 3043-3050. DOI: 10.1002/Adfm.201200152  0.416
2011 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Alizadeh A. On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3607604  0.861
2011 Weilnboeck F, Metzler D, Kumar N, Oehrlein GS, Bruce RL, Engelmann S, Fuller N. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity Applied Physics Letters. 99. DOI: 10.1063/1.3671995  0.845
2011 Chung TY, Graves DB, Weilnboeck F, Bruce RL, Oehrlein GS, Li M, Hudson EA. Ion and vacuum ultraviolet photon beam effects in 193nm photoresist surface roughening: The role of the adamantyl pendant group Plasma Processes and Polymers. 8: 1068-1079. DOI: 10.1002/Ppap.201100071  0.852
2010 Weilnboeck F, Bruce RL, Engelmann S, Oehrlein GS, Nest D, Chung TY, Graves D, Li M, Wang D, Andes C, Hudson EA. Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 993-1004. DOI: 10.1116/1.3484249  0.845
2010 Bruce RL, Lin T, Phaneuf RJ, Oehrlein GS, Bell W, Long B, Willson CG. Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 751-757. DOI: 10.1116/1.3455496  0.674
2010 Nest D, Chung TY, Végh JJ, Graves DB, Bruce RL, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Role of polymer structure and ceiling temperature in polymer roughening and degradation during plasma processing: A beam system study of P4MS and PαMS Journal of Physics D: Applied Physics. 43. DOI: 10.1088/0022-3727/43/8/085204  0.673
2010 Chung TY, Nest D, Graves DB, Weilnboeck F, Bruce RL, Oehrlein GS, Wang D, Li M, Hudson EA. Electron, ion and vacuum ultraviolet photon effects in 193 nm photoresist surface roughening Journal of Physics D: Applied Physics. 43. DOI: 10.1088/0022-3727/43/27/272001  0.833
2010 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Vegh JJ, Nest D, Graves DB. Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films Journal of Applied Physics. 107. DOI: 10.1063/1.3373587  0.866
2009 Engelmann S, Bruce RL, Weilnboeck F, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C4 F 8 - And CF4 -based discharges Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1165-1179. DOI: 10.1116/1.3137012  0.863
2009 Bruce RL, Engelmann S, Lin T, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, V́gh JJ, Nest D, Graves DB, Alizadeh A. Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1142-1155. DOI: 10.1116/1.3136864  0.797
2009 Engelmann S, Bruce RL, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Plasma-surface interactions of advanced photoresists with C4 F8 Ar discharges: Plasma parameter dependencies Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 92-106. DOI: 10.1116/1.3054342  0.82
2009 Pal AR, Bruce RL, Weilnboeck F, Engelmann S, Lin T, Kuo MS, Phaneuf R, Oehrlein GS. Real-time studies of surface roughness development and reticulation mechanism of advanced photoresist materials during plasma processing Journal of Applied Physics. 105. DOI: 10.1063/1.3055268  0.848
2009 Nest D, Chung TY, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Wang D, Andes C, Hudson EA. Understanding the roughening and degradation of 193 nm photoresist during plasma processing: synergistic roles of vacuum ultraviolet radiation and ion bombardment Plasma Processes and Polymers. 6: 649-657. DOI: 10.1002/Ppap.200900039  0.85
2009 Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Nest D, Graves DB, Andes C, Hudson EA. Dependence of polymer surface roughening rate on deposited energy density during plasma processing Plasma Processes and Polymers. 6: 484-489. DOI: 10.1002/Ppap.200900004  0.837
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1978-1986. DOI: 10.1116/1.3021037  0.853
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposures. II. Plasma parameter trends for photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1647-1653. DOI: 10.1116/1.2960563  0.86
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure. I. Studies of modified layer formation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1637-1646. DOI: 10.1116/1.2960561  0.857
2008 V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Molecular dynamics simulations of near-surface modification of polystyrene: Bombardment with Ar+ and Ar+ /radical chemistries Journal of Applied Physics. 104. DOI: 10.1063/1.2963708  0.762
2008 Nest D, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Andes C, Hudson EA. Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193 nm photoresist roughening and degradation Applied Physics Letters. 92. DOI: 10.1063/1.2912028  0.822
2007 Engelmann S, Bruce RL, Kwon T, Phaneuf R, Oehrlein GS, Bae YC, Andes C, Graves D, Nest D, Hudson EA, Lazzeri P, Iacob E, Anderle M. Plasma-surface interactions of model polymers for advanced photoresists using C4 F8 Ar discharges and energetic ion beams Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1353-1364. DOI: 10.1116/1.2759935  0.823
2007 V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Near-surface modification of polystyrene by Ar+: Molecular dynamics simulations and experimental validation Applied Physics Letters. 91. DOI: 10.1063/1.2821226  0.769
Show low-probability matches.