Jacob A. Abraham

Affiliations: 
1975-1988 University of Illinois, Urbana-Champaign, Urbana-Champaign, IL 
 1988- Electrical and Computer Engineering University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
Electronics and Electrical Engineering
Website:
https://www.ece.utexas.edu/people/faculty/jacob-abraham
Google:
"Jacob A. Abraham"
Bio:

https://www.proquest.com/openview/6c3bc54c0eba3d7e737586e59b2a2b1f/1.pdf

Parents

Sign in to add mentor
Edward J. Mccluskey grad student 1974 Stanford (Computer Science Tree)
 (Reliability Analysis of Digital Systems Protected by Massive Redundancy.)

Children

Sign in to add trainee
Abhijit Chatterjee grad student UT Austin (Computer Science Tree)
Kien Hua grad student 1974 UIUC (Computer Science Tree)
Niraj K. Jha grad student 1986 UIUC
C. Y. Roger Chen grad student 1987 UIUC (Computer Science Tree)
Suku Nair grad student 1990 UIUC
Jayanta Bhadra grad student 2001 UT Austin
Jeongjin Roh grad student 2001 UT Austin
Jason R. Baumgartner grad student 2002 UT Austin
Sungbae Hwang grad student 2002 UT Austin
Kyoil Kim grad student 2003 UT Austin
Arun Krishnamachary grad student 2003 UT Austin
Narayanan Krishnamurthy grad student 2003 UT Austin
Vivekananda M. Vedula grad student 2003 UT Austin
Hak-Soo Yu grad student 2004 UT Austin
Ramyanshu Datta grad student 2006 UT Austin
Hongjoong Shin grad student 2006 UT Austin
Robert W. Sumners grad student 2006 UT Austin
Shobha Vasudevan grad student 2007 UT Austin
Qingqi Dou grad student 2008 UT Austin
Sankaranarayanan Gurumurthy grad student 2008 UT Austin
Baker S. Mohammad grad student 2008 UT Austin
Ramtilak Vemu grad student 2008 UT Austin
Chaoming Zhang grad student 2008 UT Austin
Vinod Viswanath grad student 2001-2008 UT Austin
Savithri Sundareswaran grad student 2009 UT Austin
Rajeshwary G. Tayade grad student 2009 UT Austin
Joonsoo Kim grad student 2011 UT Austin
Ricardo Ramirez grad student 2013 UT Austin
Ameya Suhas Chaudhari grad student 2014 UT Austin
Shahrzad Mirkhani grad student 2014 UT Austin
Mahesh Prabhu grad student 2014 UT Austin
Hsun-Cheng Lee grad student 2015 UT Austin
Balavinayagam Samynathan grad student 2015 UT Austin
Jie Fang grad student 2018 UT Austin
Zheng Xu grad student 2019 UT Austin
Vijay Kiran Kalyanam grad student 2020 UT Austin
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Kim B, Abraham JA. (2020) Built-in Harmonic Prediction Scheme for Embedded Segmented-Data-Converters Ieee Access. 8: 7851-7860
Kim B, Abraham JA. (2019) Spectral Leakage-Driven Loopback Scheme for Prediction of Mixed-Signal Circuit Specifications Ieee Transactions On Industrial Electronics. 66: 586-594
Banerjee S, Samynathan B, Abraham J, et al. (2019) Real-Time Error Detection in Nonlinear Control Systems Using Machine Learning Assisted State-Space Encoding Ieee Transactions On Dependable and Secure Computing. 1-1
Cheng E, Mirkhani S, Szafaryn LG, et al. (2018) Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience) Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 1839-1852
Fang J, Thirunakkarasu S, Yu X, et al. (2017) A 5-GS/s 10-b 76-mW Time-Interleaved SAR ADC in 28 nm CMOS Ieee Transactions On Circuits and Systems. 64: 1673-1683
Zahir Z, Banerjee G, Zeidan MA, et al. (2017) A multi-band low noise amplifier with strong immunity to interferers Analog Integrated Circuits and Signal Processing. 93: 13-27
Jang EJ, Chung J, Abraham JA. (2015) Delay defect diagnosis methodology using path delay measurements Ieice Transactions On Electronics. 991-994
Raja I, Banerjee G, Zeidan MA, et al. (2015) A 0.1-3.5-GHz Duty-Cycle Measurement and Correction Technique in 130-nm CMOS Ieee Transactions On Very Large Scale Integration (Vlsi) Systems
Lee HC, Abraham JA. (2015) Digital Calibration for 8-bit Delay Line ADC Using Harmonic Distortion Correction Journal of Electronic Testing: Theory and Applications (Jetta). 31: 127-138
Mirkhani S, Abraham JA. (2014) Fast evaluation of test vector sets using a simulation-based statistical metric Proceedings of the Ieee Vlsi Test Symposium
See more...