Pawan Kapur, Ph.D. - Publications

Affiliations: 
2002 Stanford University, Palo Alto, CA 

23 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2009 Koo K, Kapur P, Saraswat KC. Compact Performance Models and Comparisons for Gigascale On-Chip Global Interconnect Technologies Ieee Transactions On Electron Devices. 56: 1787-1798. DOI: 10.1109/Ted.2009.2026196  0.567
2008 Ertosun MG, Cho H, Kapur P, Saraswat KC. A Nanoscale Vertical Double-Gate Single-Transistor Capacitorless DRAM Ieee Electron Device Letters. 29: 615-617. DOI: 10.1109/Led.2008.922969  0.732
2008 Ertosun MG, Kapur P, Saraswat KC. A Highly Scalable Capacitorless Double Gate Quantum Well Single Transistor DRAM: 1T-QW DRAM Ieee Electron Device Letters. 29: 1405-1407. DOI: 10.1109/Led.2008.2007508  0.523
2008 Verma S, Pop E, Kapur P, Parat K, Saraswat KC. Operational Voltage Reduction of Flash Memory Using High-$\kappa$ Composite Tunnel Barriers Ieee Electron Device Letters. 29: 252-254. DOI: 10.1109/Led.2007.915376  0.498
2008 Cho H, Koo K, Kapur P, Saraswat KC. Performance Comparisons Between Cu/Low- $\kappa$ , Carbon-Nanotube, and Optics for Future On-Chip Interconnects Ieee Electron Device Letters. 29: 122-124. DOI: 10.1109/Led.2007.911617  0.613
2008 Park J, Tada M, Kapur P, Saraswat KC. Low temperature boron and phosphorus activation in amorphous germanium using Ni- and Co-induced crystallization and its application for three-dimensional integrated circuits Applied Physics Letters. 93: 183512. DOI: 10.1063/1.3009201  0.517
2008 Park J, Tada M, Kapur P, Peng H, Saraswat KC. Self-nucleation free and dimension dependent metal-induced lateral crystallization of amorphous germanium for single crystalline germanium growth on insulating substrate Journal of Applied Physics. 104: 64501. DOI: 10.1063/1.2978367  0.523
2008 Behnam A, Johnson JL, Choi Y, Ertosun MG, Okyay AK, Kapur P, Saraswat KC, Ural A. Experimental characterization of single-walled carbon nanotube film-Si Schottky contacts using metal-semiconductor-metal structures Applied Physics Letters. 92. DOI: 10.1063/1.2945644  0.678
2008 Behnam A, Johnson J, Choi Y, Noriega L, Ertosun MG, Wu Z, Rinzler AG, Kapur P, Saraswat KC, Ural A. Metal-semiconductor-metal photodetectors based on single-walled carbon nanotube film-GaAs Schottky contacts Journal of Applied Physics. 103. DOI: 10.1063/1.2938037  0.572
2007 Johnson JL, Behnam A, Choi Y, Noriega L, Ertosun G, Wu Z, Rinzler AG, Kapur P, Saraswat KC, Ural A. Metal-Semiconductor-Metal (MSM) Photodetectors Based on Single-walled Carbon Nanotube Film-GaAs Schottky Contacts Mrs Proceedings. 1057. DOI: 10.1557/Proc-1057-Ii22-05  0.505
2007 Koo K, Cho H, Kapur P, Saraswat KC. Performance Comparisons Between Carbon Nanotubes, Optical, and Cu for Future High-Performance On-Chip Interconnect Applications Ieee Transactions On Electron Devices. 54: 3206-3215. DOI: 10.1109/Ted.2007.909045  0.63
2007 Kuo-An Chao A, Kapur P, Morifuji E, Saraswat K, Nishi Y. Electro-Thermally Coupled Power Optimization for Future Transistors and Its Applications Ieee Transactions On Electron Devices. 54: 1696-1704. DOI: 10.1109/Ted.2007.898242  0.592
2007 Cho H, Kapur P, Saraswat KC. A Modulator Design Methodology Minimizing Power Dissipation in a Quantum Well Modulator-Based Optical Interconnect Journal of Lightwave Technology. 25: 1621-1628. DOI: 10.1109/Jlt.2007.895340  0.663
2007 Park J, Kapur P, Saraswat KC, Peng H. A very low temperature single crystal germanium growth process on insulating substrate using Ni-induced lateral crystallization for three-dimensional integrated circuits Applied Physics Letters. 91: 143107. DOI: 10.1063/1.2793183  0.538
2006 Saraswat KC, Chui CO, Kapur P, Krishnamohan T, Nayfeh A, Okyay AK, Shenoy RS. Performance limitations of Si CMOS and alternatives for nanoelectronics International Journal of High Speed Electronics and Systems. 16: 175-192. DOI: 10.1142/S0129156406003606  0.677
2006 Cho H, Kapur P, Kalavade P, Saraswat KC. A novel spacer process for sub-10-nm-thick vertical MOS and its integration with planar MOS device Ieee Transactions On Nanotechnology. 5: 554-563. DOI: 10.1109/Tnano.2006.880881  0.704
2006 Cho H, Kapur P, Saraswat KC. Performance comparison between vertical-cavity surface-emitting laser and quantum-well modulator for short-distance optical links Ieee Photonics Technology Letters. 18: 520-522. DOI: 10.1109/Lpt.2005.863986  0.601
2005 Kapur P, Kekatpure RD, Saraswat KC. Minimizing power dissipation in optical interconnects at low voltage using optimal modulator design Ieee Transactions On Electron Devices. 52: 1713-1721. DOI: 10.1109/Ted.2005.851821  0.552
2004 Cho H, Kapur P, Saraswat KC. Power comparison between high-speed electrical and optical interconnects for interchip communication Journal of Lightwave Technology. 22: 2021-2033. DOI: 10.1109/Jlt.2004.833531  0.634
2003 Kapur P, Saraswat KC. Optical interconnects for future high performance integrated circuits Physica E: Low-Dimensional Systems and Nanostructures. 16: 620-627. DOI: 10.1016/S1386-9477(02)00686-0  0.574
2002 Kapur P, Chandra G, McVittie JP, Saraswat KC. Technology and reliability constrained future copper interconnects - Part II: Performance implications Ieee Transactions On Electron Devices. 49: 598-604. DOI: 10.1109/16.992868  0.519
2002 Kapur P, McVittie JP, Saraswat KC. Technology and reliability constrained future copper interconnects - Part I: Resistance modeling Ieee Transactions On Electron Devices. 49: 590-597. DOI: 10.1109/16.992867  0.514
1998 Kapur P, Bang DS, McVittie JP, Saraswat KC, Mountsier T. Method for angular sputter yield extraction for high-density plasma chemical vapor deposition simulators Journal of Vacuum Science & Technology B. 16: 1123-1128. DOI: 10.1116/1.590020  0.504
Show low-probability matches.