Stefanus Mantik, Ph.D. - Publications

Affiliations: 
2003 University of California, Los Angeles, Los Angeles, CA 
Area:
Computer Science

12 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2005 Gupta P, Kahng AB, Mantik S. Routing-aware scan chain ordering Acm Transactions On Design Automation of Electronic Systems. 10: 546-560. DOI: 10.1145/1080334.1080339  0.627
2004 Caldwell AE, Choi HJ, Kahng AB, Mantik S, Potkonjak M, Qu G, Wong JL. Effective iterative techniques for fingerprinting design IP Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 208-215. DOI: 10.1109/Tcad.2003.822126  0.586
2003 Gupta P, Kahng AB, Mantik S. A proposal for routing-based timing-driven scan chain ordering Proceedings - International Symposium On Quality Electronic Design, Isqed. 2003: 339-343. DOI: 10.1109/ISQED.2003.1194755  0.546
2003 Gupta P, Kahng AB, Mantik S. Routing-aware scan chain ordering Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 2003: 857-862. DOI: 10.1109/ASPDAC.2003.1195137  0.591
2002 Kahng AB, Mantik S. Measurement of inherent noise in EDA tools Proceedings - International Symposium On Quality Electronic Design, Isqed. 2002: 206-211. DOI: 10.1109/ISQED.2002.996731  0.458
2002 Kahng AB, Mantik S, Markov IL. Min-max placement for large-scale timing optimization Proceedings of the International Symposium On Physical Design. 143-148.  0.59
2001 Kahng AB, Mantik S. A system for automatic recording and prediction of design quality metrics Proceedings - International Symposium On Quality Electronic Design, Isqed. 2001: 81-86. DOI: 10.1109/ISQED.2001.915210  0.542
2001 Kahng AB, Lach J, Mangione-Smith WH, Mantik S, Markov IL, Potkonjak M, Tucker P, Wang H, Wolfe G. Constraint-based watermarking techniques for design IP protection Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 20: 1236-1252. DOI: 10.1109/43.952740  0.638
2001 Kahng AB, Mantik S, Stroobandt D. Toward accurate models of achievable routing Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 20: 648-659. DOI: 10.1109/43.920697  0.552
2001 Boese KD, Kahng AB, Mantik S. On the relevance of wire load models 2001 International Workshop On System-Level Interconnect Prediction (Slip 2001). 91-98.  0.367
1999 Caldwell AE, Kahng AB, Mantik S, Markov IL, Zelikovsky A. On wirelength estimations for row-based placement Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 1265-1278. DOI: 10.1109/43.784119  0.58
1998 Kahng AB, Lach J, Mangione-Smith WH, Mantik S, Markov IL, Potkonjak M, Tucker P, Wang H, Wolfe G. Watermarking techniques for intellectual property protection Proceedings - Design Automation Conference. 776-781.  0.576
Show low-probability matches.