Philippe P. Absil, Ph.D. - Publications

Affiliations: 
2000 University of Maryland, College Park, College Park, MD 
Area:
Electronics and Electrical Engineering, Optics Physics

45/154 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Tian B, Wang Z, Pantouvaki M, Absil P, Van Campenhout J, Merckling C, Van Thourhout D. Room Temperature O-band DFB Laser Array Directly Grown on (001) Silicon. Nano Letters. PMID 27997215 DOI: 10.1021/acs.nanolett.6b04690  0.318
2016 Chen H, Verheyen P, De Heyn P, Lepage G, De Coster J, Balakrishnan S, Absil P, Yao W, Shen L, Roelkens G, Van Campenhout J. -1 V bias 67 GHz bandwidth Si-contacted germanium waveguide p-i-n photodetector for optical links at 56 Gbps and beyond Optics Express. 24: 4622-4631. DOI: 10.1364/OE.24.004622  0.365
2016 Srinivasan SA, Pantouvaki M, Gupta S, Chen HT, Verheyen P, Lepage G, Roelkens G, Saraswat K, Van Thourhout D, Absil P, Campenhout JV. 56 Gb/s Germanium Waveguide Electro-Absorption Modulator Journal of Lightwave Technology. 34: 419-424. DOI: 10.1109/JLT.2015.2478601  0.308
2016 Agarwal S, Ingels M, Rakowski M, Pantouvaki M, Steyaert M, Absil P, Van Campenhout J. Wavelength locking of a Si ring modulator using an integrated drop-port OMA monitoring circuit 2015 Ieee Asian Solid-State Circuits Conference, a-Sscc 2015 - Proceedings. DOI: 10.1109/ASSCC.2015.7387457  0.354
2015 Absil PP, Verheyen P, De Heyn P, Pantouvaki M, Lepage G, De Coster J, Van Campenhout J. Silicon photonics integrated circuits: a manufacturing platform for high density, low power optical I/O's. Optics Express. 23: 9369-78. PMID 25968767 DOI: 10.1364/OE.23.009369  0.31
2015 Chen HT, Verbist J, Verheyen P, De Heyn P, Lepage G, De Coster J, Absil P, Yin X, Bauwelinck J, Van Campenhout J, Roelkens G. High sensitivity 10Gb/s Si photonic receiver based on a low-voltage waveguide-coupled Ge avalanche photodetector. Optics Express. 23: 815-22. PMID 25835841 DOI: 10.1364/OE.23.000815  0.315
2015 Van Thourhout D, Wang Z, Tian B, Hu Y, George JP, Beeckman J, Pantouvaki M, Merckling C, Asselberghs I, Brems S, Absil P, Min-Hsiang H, Van Campenhout J. New materials and devices for optical interconnect 2015 Opto-Electronics and Communications Conference, Oecc 2015. DOI: 10.1109/OECC.2015.7340154  0.385
2015 Chen HT, Verbist J, Verheyen P, De Heyn P, Lepage G, De Coster J, Absil P, Moeneclaey B, Yin X, Bauwelinck J, Van Campenhout J, Roelkens G. 25-Gb/s 1310-nm optical receiver based on a sub-5-V waveguide-coupled germanium avalanche photodiode Ieee Photonics Journal. 7. DOI: 10.1109/JPHOT.2015.2460116  0.405
2015 Kopp VI, Park J, Wlodawski M, Hubner E, Singer J, Neugroschl D, Genack AZ, Dumon P, Van Campenhout J, Absil P. Two-Dimensional, 37-Channel, High-Bandwidth, Ultra-Dense Silicon Photonics Optical Interface Journal of Lightwave Technology. 33: 653-656. DOI: 10.1109/Jlt.2014.2364579  0.449
2015 Rakowski M, Pantouvaki M, De Heyn P, Verheyen P, Ingels M, Chen H, De Coster J, Lepage G, Snyder B, De Meyer K, Steyaert M, Pavarelli N, Lee JS, O'Brien P, Absil P, et al. A 4×20Gb/s WDM ring-based hybrid CMOS silicon photonics transceiver Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 58: 408-409. DOI: 10.1109/ISSCC.2015.7063099  0.333
2015 Pantouvaki M, Verheyen P, De Coster J, Lepage G, Absil P, Van Campenhout J. 56Gb/s ring modulator on a 300mm silicon photonics platform European Conference On Optical Communication, Ecoc. 2015. DOI: 10.1109/ECOC.2015.7341888  0.307
2014 Yu H, Pantouvaki M, Verheyen P, Lepage G, Absil P, Bogaerts W, Van Campenhout J. Silicon dual-ring modulator driven by differential signal. Optics Letters. 39: 6379-82. PMID 25490473 DOI: 10.1364/OL.39.006379  0.354
2014 Yu H, Ying D, Pantouvaki M, Van Campenhout J, Absil P, Hao Y, Yang J, Jiang X. Trade-off between optical modulation amplitude and modulation bandwidth of silicon micro-ring modulators. Optics Express. 22: 15178-89. PMID 24977610 DOI: 10.1364/OE.22.015178  0.328
2014 Masood A, Pantouvaki M, Goossens D, Lepage G, Verheyen P, Van Campenhout J, Absil P, Van Thourhout D, Bogaerts W. Fabrication and characterization of CMOS-compatible integrated tungsten heaters for thermo-optic tuning in silicon photonics devices Optical Materials Express. 4: 1383-1388. DOI: 10.1364/OME.4.001383  0.411
2014 Pathak S, Vanslembrouck M, Dumon P, Van Thourhout D, Verheyen P, Lepage G, Absil P, Bogaerts W. Effect of mask discretization on performance of silicon arrayed waveguide gratings Ieee Photonics Technology Letters. 26: 718-721. DOI: 10.1109/LPT.2014.2303793  0.337
2014 Korn D, Jazbinsek M, Palmer R, Baier M, Alloatti L, Yu H, Bogaerts W, Lepage G, Verheyen P, Absil P, Guenter P, Koos C, Freude W, Leuthold J. Electro-Optic Organic Crystal Silicon High-Speed Modulator Ieee Photonics Journal. 6. DOI: 10.1109/Jphot.2014.2314113  0.372
2013 Wang Z, Tian B, Paladugu M, Pantouvaki M, Le Thomas N, Merckling C, Guo W, Dekoster J, Van Campenhout J, Absil P, Van Thourhout D. Polytypic InP nanolaser monolithically integrated on (001) silicon. Nano Letters. 13: 5063-9. PMID 24073748 DOI: 10.1021/nl402145r  0.305
2013 Korn D, Palmer R, Yu H, Schindler PC, Alloatti L, Baier M, Schmogrow R, Bogaerts W, Selvaraja SK, Lepage G, Pantouvaki M, Wouters JM, Verheyen P, Van Campenhout J, Chen B, ... ... Absil P, et al. Silicon-organic hybrid (SOH) IQ modulator using the linear electro-optic effect for transmitting 16QAM at 112 Gbit/s. Optics Express. 21: 13219-27. PMID 23736576 DOI: 10.1364/Oe.21.013219  0.353
2013 Yu H, Pantouvaki M, Dwivedi S, Verheyen P, Lepage G, Baets R, Bogaerts W, Absil P, Van Campenhout J. Compact thermally tunable silicon racetrack modulators based on an asymmetric waveguide Ieee Photonics Technology Letters. 25: 159-162. DOI: 10.1109/LPT.2012.2230619  0.32
2013 De Heyn P, De Coster J, Verheyen P, Lepage G, Pantouvaki M, Absil P, Bogaerts W, Van Campenhout J, Van Thourhout D. Fabrication-tolerant four-channel wavelength-division-multiplexing filter based on collectively tuned si microrings Journal of Lightwave Technology. 31: 3085-3092. DOI: 10.1109/JLT.2013.2273391  0.301
2012 Yu H, Korn D, Pantouvaki M, Van Campenhout J, Komorowska K, Verheyen P, Lepage G, Absil P, Hillerkuss D, Alloatti L, Leuthold J, Baets R, Bogaerts W. Using carrier-depletion silicon modulators for optical power monitoring. Optics Letters. 37: 4681-3. PMID 23164878 DOI: 10.1364/Ol.37.004681  0.313
2012 Yu H, Pantouvaki M, Van Campenhout J, Komorowska K, Dumon P, Verheyen P, Lepage G, Absil P, Korn D, Hillerkuss D, Leuthold J, Baets R, Bogaerts W. Silicon carrier-depletion-based Mach-Zehnder and ring modulators with different doping patterns for telecommunication and optical interconnect International Conference On Transparent Optical Networks. DOI: 10.1109/ICTON.2012.6254461  0.378
2012 Masood A, Pantouvaki M, Goossens D, Lepage G, Verheyen P, Van Thourhout D, Absil P, Bogaerts W. CMOS-compatible Tungsten heaters for silicon photonic waveguides Ieee International Conference On Group Iv Photonics Gfp. 234-236. DOI: 10.1109/GROUP4.2012.6324144  0.383
2012 Rakowski M, Ryckaert J, Pantouvaki M, Yu H, Bogaerts W, De Meyer K, Steyaert M, Absil PP, Van Campenhout J. Low-Power, 10-Gbps 1.5-Vpp differential CMOS driver for a silicon electro-optic ring modulator Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330643  0.381
2011 Bogaerts W, Absil P, Van Thourhout D, Van Campenhout J, Selvaraja SK, Dumon P, Yu H, Masood A, Roelkens G, Baets R. Optical Interconnect Technologies based on Silicon Photonics Mrs Proceedings. 1335. DOI: 10.1557/opl.2011.1267  0.409
2004 Little BE, Chu ST, Absil PP, Hryniewicz JV, Johnson FG, Seiferth F, Gill D, Van V, King O, Trakalo M. Very high-order microring resonator filters the WDM applications Ieee Photonics Technology Letters. 16: 2263-2265. DOI: 10.1109/Lpt.2004.834525  0.361
2004 Absil PP, Chu ST, Gill D, Hrynievricz JV, Johnson F, King O, Little BE, Seiferth F, Van V. Very high order integrated optical filters Conference On Optical Fiber Communication, Technical Digest Series. 1: 506-508.  0.31
2004 Chu ST, Little BE, Van V, Hryniewicz JV, Absil PP, Johnson FG, Gill D, King O, Seiferth F, Trakalo M, Shanton J. Compact full C-band tunable filters for 50 GHz channel spacing based on high order micro-ring resonators Conference On Optical Fiber Communication, Technical Digest Series. 2: 683-685.  0.3
2002 Van Member V, Ibrahim TA, Absil PP, Johnson FG, Grover R, Ho PT. Optical signal processing using nonlinear semiconductor microring resonators Ieee Journal On Selected Topics in Quantum Electronics. 8: 705-713. DOI: 10.1109/Jstqe.2002.1016376  0.623
2002 Grover R, Van V, Ibrahim TA, Absil PP, Calhoun LC, Johnson FG, Hryniewicz JV, Ho PT. Parallel-cascaded semiconductor microring resonators for high-order and wide-FSR filters Journal of Lightwave Technology. 20: 900-905. DOI: 10.1109/Jlt.2002.1007947  0.62
2002 Van V, Ibrahim TA, Ritter K, Absil PP, Johnson FG, Grover R, Goldhar J, Ho PT. All-optical nonlinear switching in GaAs-AlGaAs microring resonators Ieee Photonics Technology Letters. 14: 74-76. DOI: 10.1109/68.974166  0.624
2001 Grover R, Absil PP, Van V, Hryniewicz JV, Little BE, King O, Calhoun LC, Johnson FG, Ho PT. Vertically coupled GaInAsP--InP microring resonators. Optics Letters. 26: 506-8. PMID 18040367 DOI: 10.1364/Ol.26.000506  0.597
2001 Absil PP, Hryniewicz JV, Little BE, Johnson FG, Ritter KJ, Ho PT. Vertically coupled microring resonators using polymer wafer bonding Ieee Photonics Technology Letters. 13: 49-51. DOI: 10.1109/68.903217  0.469
2001 Van V, Absil PP, Hryniewicz JV, Ho PT. Propagation loss in single-mode GaAs-AlGaAs microring resonators: Measurement and model Journal of Lightwave Technology. 19: 1734-1739. DOI: 10.1109/50.964074  0.323
2001 Van V, Absil PP, Hryniewicz JV, Ho PT. Measurement and modeling of propagation loss in semiconductor racetrack microresonators Conference On Lasers and Electro-Optics Europe - Technical Digest. 263-264.  0.343
2001 Absil PP, Hryniewicz JV, Little BE, Johnson FG, Ho PT. Wavelength selective mirror using notched microring resonators Conference Proceedings - Lasers and Electro-Optics Society Annual Meeting-Leos. 2: 511-512.  0.468
2001 Grover R, Absil PP, Van V, Hryniewicz JV, Little BE, King O, Johnson FG, Calhoun LC, Ho PT. Vertically coupled GaAs-AlGaAs and GaInAsP-InP microring resonators Conference On Optical Fiber Communication, Technical Digest Series. 54.  0.442
2001 Ibrahim TA, Van V, Ritter K, Absil PP, Johnson FG, Grover R, Goldhar J, Ho PT. Fast nonlinear all-optical switching in a compact semiconductor microring resonator Conference Proceedings - Lasers and Electro-Optics Society Annual Meeting-Leos. 2: 519-520.  0.633
2001 Grover R, Van V, Ibrahim T, Absil PP, Calhoun LC, Johnson FG, Hryniewicz JV, Ho PT. Periodically-coupled GaAs-AlGaAs and GaInAsP-InP microring resonators with high-order, wide-FSR response Conference Proceedings - Lasers and Electro-Optics Society Annual Meeting-Leos. 2: 515-516.  0.458
2001 Grover R, Absil PP, Van V, Hryniewicz JV, Little BE, King O, Calhoun LC, Johnson FG, Ho PT. Vertically coupled GaInAsP-InP microring resonators Optics Letters. 26: 506-508.  0.575
2000 Absil PP, Hryniewicz JV, Little BE, Cho PS, Wilson RA, Joneckis LG, Ho PT. Wavelength conversion in GaAs micro-ring resonators. Optics Letters. 25: 554-6. PMID 18064109  0.62
2000 Absil PP, Hryniewicz JV, Little BE, Wilson RA, Joneckis LG, Ho PT. Compact microring notch filters Ieee Photonics Technology Letters. 12: 398-400. DOI: 10.1109/68.839031  0.344
2000 Little BE, Chu ST, Hryniewicz JV, Absil PP. Filter synthesis for periodically coupled microring resonators Optics Letters. 25: 344-346.  0.396
2000 Absil PP, Hryniewicz JV, Little BF, Cho PS, Wilson RA, Joneckis LG. Enhancement of nonlinear effects in GaAs/AlGaAs microring resonators Pacific Rim Conference On Lasers and Electro-Optics, Cleo - Technical Digest. 224-225.  0.497
2000 Hryniewicz JV, Absil PP, Little BE, Wilson RA, Joneckis LG, Ho PT. Microring resonator notch filters Pacific Rim Conference On Lasers and Electro-Optics, Cleo - Technical Digest. 595-596.  0.383
Low-probability matches (unlikely to be authored by this person)
2017 Hsu MM, Marinelli A, Merckling C, Pantouvaki M, Van Campenhout J, Absil P, Van Thourhout D. Orientation-dependent electro-optical response of BaTiO_3 on SrTiO_3-buffered Si(001) studied via spectroscopic ellipsometry Optical Materials Express. 7: 2030. DOI: 10.1364/OME.7.002030  0.298
2010 Vermeulen D, Selvaraja S, Verheyen P, Lepage G, Bogaerts W, Absil P, Van Thourhout D, Roelkens G. High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible silicon-on-insulator platform. Optics Express. 18: 18278-83. PMID 20721220 DOI: 10.1364/OE.18.018278  0.298
2015 Chen HT, Verbist J, Verheyen P, De Heyn P, Lepage G, De Coster J, Absil P, Yin X, Bauwelinck J, Van Campenhout J, Roelkens G. Low-voltage waveguide Ge APD based high sensitivity 10Gb/s Si photonic receiver European Conference On Optical Communication, Ecoc. 2015. DOI: 10.1109/ECOC.2015.7341913  0.291
2016 Pantouvaki M, Srinivasan SA, Ban Y, De Heyn P, Verheyen P, Lepage G, Chen H, De Coster J, Golshani N, Balakrishnan S, Absil P, Van Campenhout J. Active Components for 50Gb/s NRZ-OOK Optical Interconnects in a Silicon Photonics Platform Journal of Lightwave Technology. DOI: 10.1109/JLT.2016.2604839  0.291
2012 Yu H, Pantouvaki M, Van Campenhout J, Korn D, Komorowska K, Dumon P, Li Y, Verheyen P, Absil P, Alloatti L, Hillerkuss D, Leuthold J, Baets R, Bogaerts W. Performance tradeoff between lateral and interdigitated doping patterns for high speed carrier-depletion based silicon modulators. Optics Express. 20: 12926-38. PMID 22714320 DOI: 10.1364/Oe.20.012926  0.286
2019 Rahim A, Goyvaerts J, Szelag B, Fedeli J, Absil P, Aalto T, Harjanne M, Littlejohns C, Reed G, Winzer G, Lischke S, Zimmermann L, Knoll D, Geuzebroek D, Leinse A, et al. Open-Access Silicon Photonics Platforms in Europe Ieee Journal of Selected Topics in Quantum Electronics. 25: 1-18. DOI: 10.1109/JSTQE.2019.2915949  0.283
2018 Verbist J, Verplaetse M, Srinivasan SA, Van Kerrebrouck J, De Heyn P, Absil P, De Keulenaer T, Pierco R, Vyncke A, Torfs G, Yin X, Roelkens G, Van Campenhout J, Bauwelinck J. Real-Time 100 Gb/s NRZ and EDB Transmission With a GeSi Electroabsorption Modulator for Short-Reach Optical Interconnects Journal of Lightwave Technology. 36: 90-96. DOI: 10.1109/JLT.2017.2775630  0.283
2014 Absil PP, De Heyn P, Dumon P, Van Thourhout D, Verheyen P, Selvaraja S, Lepage G, Pantouvaki M, Rakowski M, Van Campenhout J. Advances in silicon photonics WDM devices Proceedings of Spie - the International Society For Optical Engineering. 9010. DOI: 10.1117/12.2047350  0.278
2013 Palmer R, Koeber S, Heni W, Elder DL, Korn D, Yu H, Alloatti L, Koenig S, Schindler PC, Bogaerts W, Pantouvaki M, Lepage G, Verheyen P, Van Campenhout J, Absil P, et al. High-speed silicon-organic hybrid (SOH) modulator with 1.6 fJ/bit and 180 pm/V in-device nonlinearity Iet Conference Publications. 2013: 510-512. DOI: 10.1049/cp.2013.1443  0.269
2015 Absil PP, De Heyn P, Chen H, Verheyen P, Lepage G, Pantouvaki M, De Coster J, Khanna A, Drissi Y, Van Thourhout D, Van Campenhout J. Imec iSiPP25G silicon photonics: A robust CMOS-based photonics technology platform Proceedings of Spie - the International Society For Optical Engineering. 9367. DOI: 10.1117/12.2076262  0.265
2015 Srinivasan SA, Pantouvaki M, Verheyen P, Lepaee G, Absil P, Van Campenhout J, Van Thouaout D. Carrier lifetime assessment in integrated Ge waveguide devices Ieee International Conference On Group Iv Photonics Gfp. 2015: 167-168. DOI: 10.1109/Group4.2015.7305916  0.263
2015 Van Thourhout D, Hu Y, Pantouvaki M, Alexander K, Kuyken B, Brems S, Asselberghs I, Huyghebaert C, Alessandri C, Absil P, Van Campenhout J. Hybrid graphene-silicon photonics devices European Conference On Optical Communication, Ecoc. 2015. DOI: 10.1109/ECOC.2015.7341887  0.259
2000 Hryniewicz JV, Absil PP, Little BE, Wilson RA, Ho PT. Higher order filter response in coupled microring resonators Ieee Photonics Technology Letters. 12: 320-322. DOI: 10.1109/68.826927  0.257
2006 Hoffmann T, Veloso A, Lauwers A, Yu H, Van Dal M, Tigelaar H, Chiarella T, Kerner C, Mitsuhashi R, Satoru I, Niwa M, Rothschild A, Froment B, Ramos J, Nackaerts A, ... ... Absil PP, et al. Low power CMOS featuring dual work function FUSI on HfSiON and 17ps inverter delay Digest of Technical Papers - Symposium On Vlsi Technology. 154-155.  0.255
2012 Pantouvaki M, Yu H, Verheyen P, Lepage G, Bogaerts W, Moelants M, Wouters J, Radisic D, Vandervorst A, Absil P, Van Campenhout J. Lateral versus interdigitated diode design for 10 Gb/s low-voltage low-loss silicon ring modulators 2012 Optical Interconnects Conference, Oic 2012. 44-45. DOI: 10.1109/OIC.2012.6224455  0.254
2013 Pantouvaki M, Verheyen P, Lepage G, De Coster J, Yu H, De Heyn P, Absil P, Van Campenhout J. 20Gb/s silicon ring modulator co-integrated with a Ge monitor photodetector Iet Conference Publications. 2013: 507-509. DOI: 10.1049/cp.2013.1442  0.239
2014 Xie W, Fiers M, Selvaraja S, Bienstman P, Van Campenhout J, Absil P, Van Thourhout D. High-Q Photonic Crystal Nanocavities on 300 mm SOI Substrate Fabricated With 193 nm Immersion Lithography Journal of Lightwave Technology. 32: 1457-1462. DOI: 10.1109/JLT.2014.2308061  0.239
2006 Hoffmann T, Veloso A, Lauwers A, Yu H, Tigelaar H, Van Dal M, Chiarella T, Kerner C, Kauerauf T, Shickova A, Mitsuhashi R, Satoru I, Niwa M, Rothschild A, Froment B, ... ... Absil PP, et al. Ni-based FUSI gates: CMOS integration for 45nm node and beyond Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2006.346759  0.225
2011 Waldron N, Nguyen ND, Lin D, Brammertz G, Vincent B, Firrincieli A, Winderick G, Sioncke S, De Jaeger B, Wang G, Mitard J, Wang WE, Heyns M, Caymax M, Meuris M, ... Absil P, et al. Heterogeneous integration and fabrication of III-V MOS devices in a 200mm processing environment Ecs Transactions. 35: 299-309. DOI: 10.1149/1.3569922  0.22
2015 Sun X, Rouhi Najaf Abadi A, Guo W, Ben Ali K, Rack M, Roda Neve C, Choi M, Moroz V, De Wolf I, Raskin JP, Van Der Plas G, Beyne E, Absil P. Noise coupling between TSVs and active devices: Planar nMOSFETs vs. nFinFETs Proceedings - Electronic Components and Technology Conference. 2015: 260-265. DOI: 10.1109/ECTC.2015.7159602  0.22
2009 Ragnarsson LA, Li Z, Tseng J, Schram T, Rohr E, Cho MJ, Kauerauf T, Conard T, Okuno Y, Parvais B, Absil P, Biesemans S, Hoffmann TY. Ultra low-EOT (5 Å) gate-first and gate-last high performance CMOS achieved by gate-electrode optimization Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2009.5424254  0.218
2014 Chen HT, Verheyen P, Rakowski M, De Heyn P, Lepage G, De Coster J, Absil P, Roelkens G, Van Campenhout J. Low-voltage Ge avalanche photodetector for highly sensitive 10Gb/s Si photonics receivers Ieee International Conference On Group Iv Photonics Gfp. 106-107. DOI: 10.1109/Group4.2014.6961949  0.215
2017 Chen H, Galili M, Verheyen P, De Heyn P, Lepage G, De Coster J, Balakrishnan S, Absil P, Oxenlowe L, Van Campenhout J, Roelkens G. 100-Gbps RZ Data Reception in 67-GHz Si-Contacted Germanium Waveguide p-i-n Photodetectors Journal of Lightwave Technology. 35: 722-726. DOI: 10.1109/JLT.2016.2593942  0.215
2009 Ragnarsson LA, Schram T, Röhr E, Sebaai F, Kelkar P, Wada M, Kauerauf T, Aoulaiche M, Cho MJ, Kubicek S, Lauwers A, Hoffmann TY, Absil PP, Biesemans S. Single-metal dual-dielectric (SMDD) gate-first CMOS integration towards low VT and high performance International Symposium On Vlsi Technology, Systems, and Applications, Proceedings. 49-50. DOI: 10.1109/VTSA.2009.5159287  0.215
2011 Veloso A, De Keersgieter A, Brus S, Horiguchi N, Absil PP, Hoffmann T. Multi-gate fin field-effect transistors junctions optimization by conventional ion implantation for (Sub-)22nm technology nodes circuit applications Japanese Journal of Applied Physics. 50. DOI: 10.1143/JJAP.50.04DC16  0.214
2018 Martin A, Verheyen P, De Heyn P, Absil P, Feneyrou P, Bourderionnet J, Dodane D, Leviandier L, Dolfi D, Naughton A, O'Brien P, Spuessens T, Baets R, Lepage G. Photonic Integrated Circuit-Based FMCW Coherent LiDAR Journal of Lightwave Technology. 36: 4640-4645. DOI: 10.1109/JLT.2018.2840223  0.213
2010 Eneman G, Yamaguchi S, Ortolland C, Takeoka S, Witters L, Chiarella T, Favia P, Hikavyy A, Mitard J, Kobayashi M, Krom R, Bender H, Tseng J, Wang WE, Vandervorst W, ... ... Absil PP, et al. High-mobility Si1-xGex-channel PFETs: Layout dependence and enhanced scalability, demonstrating 90% performance boost at narrow widths Digest of Technical Papers - Symposium On Vlsi Technology. 41-42. DOI: 10.1109/VLSIT.2010.5556128  0.212
2013 Wang Z, Tian B, Paladugu M, Pantouvaki M, Merckling C, Guo W, Dekoster J, Caymax M, Van Campenhout J, Absil P, Van Thourhout D. An ultra-short InP nanowire laser monolithic integrated on (001) silicon substrate 2013 Ieee Photonics Society Summer Topical Meeting Series, Psstms 2013. 23-24. DOI: 10.1109/PHOSST.2013.6614448  0.212
2011 Veloso A, Ragnarsson LA, Cho MJ, Devriendt K, Kellens K, Sebaai F, Suhard S, Brus S, Crabbe Y, Schram T, Röhr E, Paraschiv V, Eneman G, Kauerauf T, Dehan M, ... ... Absil PP, et al. Gate-last vs. gate-first technology for aggressively scaled EOT logic/RF CMOS Digest of Technical Papers - Symposium On Vlsi Technology. 34-35.  0.208
2015 Guo W, Choi M, Rouhi A, Moroz V, Eneman G, Mitard J, Witters L, Van Der Plas G, Collaert N, Beyer G, Absil P, Thean A, Beyne E. Impact of 3D integration on 7nm high mobility channel devices operating in the ballistic regime Technical Digest - International Electron Devices Meeting, Iedm. 2015: 7.1.1-7.1.4. DOI: 10.1109/IEDM.2014.7047001  0.204
2015 Xing Y, Ako T, George JP, Korn D, Yu H, Verheyen P, Pantouvaki M, Lepage G, Absil P, Ruocco A, Koos C, Leuthold J, Neyts K, Beeckman J, Bogaerts W. Digitally controlled phase shifter using an SOI slot waveguide with liquid crystal infiltration Ieee Photonics Technology Letters. 27: 1269-1272. DOI: 10.1109/Lpt.2015.2416438  0.203
2016 Hu Y, Pantouvaki M, Van Campenhout J, Brems S, Asselberghs I, Huyghebaert C, Absil P, Van Thourhout D. Broadband 10 Gb/s operation of graphene electro-absorption modulator on silicon Laser & Photonics Reviews. 10: 307-316. DOI: 10.1002/LPOR.201500250  0.202
2013 Pantouvaki M, Yu H, Rakowski M, Christie P, Verheyen P, Lepage G, Van Hoovels N, Absil P, Van Campenhout J. Comparison of silicon ring modulators with interdigitated and lateral p-n junctions Ieee Journal On Selected Topics in Quantum Electronics. 19. DOI: 10.1109/JSTQE.2012.2228169  0.2
2013 Masood A, Pantouvaki M, Lepage G, Verheyen P, Van Campenhout J, Absil P, Van Thourhout D, Bogaerts W. Comparison of heater architectures for thermal control of silicon photonic circuits Ieee International Conference On Group Iv Photonics Gfp. 83-84. DOI: 10.1109/Group4.2013.6644437  0.2
2007 Chang VS, Ragnarsson LA, Pourtois G, O'Connor R, Adelmann C, Van Elshocht S, Delabie A, Swerts J, Van Der Heyden N, Conard T, Cho HJ, Akheyar A, Mitsuhashi R, Witters T, O'Sullivan BJ, ... ... Absil PP, et al. A Dy2O3-capped HfO2 dielectric and TaCx-based metals enabling low-Vt single-metal-single- dielectric gate stack Technical Digest - International Electron Devices Meeting, Iedm. 535-538. DOI: 10.1109/IEDM.2007.4418993  0.195
2012 Korn D, Alloatti L, Lauermann M, Pfeifle J, Palmer R, Schindler PC, Freude W, Koos C, Leuthold J, Yu H, Bogaerts W, Komorowska K, Baets R, Van Campenhout J, Verheyen P, ... ... Absil P, et al. Silicon-organic hybrid fabrication platform for integrated circuits International Conference On Transparent Optical Networks. DOI: 10.1109/ICTON.2012.6254470  0.194
2012 Sebaai F, Veloso A, Claes M, Devriendt K, Brus S, Absil P, Mertens P, De Gendt S. Poly-silicon wet removal for replacement gate integration scheme: Impact of process parameters on the removal rate Solid State Phenomena. 187: 53-56. DOI: 10.4028/www.scientific.net/SSP.187.53  0.193
2016 Khanna A, Bode D, Das C, Absil P, Beckers S. CMOS cost–volume paradigm and silicon photonics production Topics in Applied Physics. 122: 261-276. DOI: 10.1007/978-3-642-10503-6_9  0.192
2012 Vermeulen D, Selvaraja S, Verheyen P, Absil P, Bogaerts W, Van Thourhout D, Roelkens G. Silicon-on-insulator polarization rotator based on a symmetry breaking silicon overlay Ieee Photonics Technology Letters. 24: 482-484. DOI: 10.1109/LPT.2011.2181944  0.192
2001 Goldner LS, Hwang J, Bryant GW, Fasolka MJ, Absil PP, Hryniewicz JV, Johnson FG, Shen H, Ho PT. Newton's rings in near-field optics Applied Physics Letters. 78: 583-585. DOI: 10.1063/1.1343850  0.189
2006 Loo R, Walczyk C, Verheyen P, Rooyackers R, Leys FE, Eneman G, Shamiryan D, Absil PP, Delande T, Moussa A, Bender H, Drijbooms C, Geenen L, Caymax M, Weijtmans JW, et al. Selective epitaxy of Si/SiGe to improve pMOS devices by recessed source/drain and/or buried SiGe channels Ecs Transactions. 3: 453-465. DOI: 10.1149/1.2355843  0.189
2009 Ortolland C, Ragnarsson LA, Favia P, Richard O, Kerner C, Chiarella T, Rosseel E, Okuno Y, Akheyar A, Tseng J, Everaert JL, Schram T, Kubicek S, Aoulaiche M, Cho MJ, ... Absil PP, et al. Optimized ultra-low thermal budget process flow for advanced high-K / metal gate first CMOS using laser-annealing technology Digest of Technical Papers - Symposium On Vlsi Technology. 38-39.  0.187
2013 Palmer R, Alloatti L, Korn D, Schindler PC, Schmogrow R, Heni W, Koenig S, Bolten J, Wahlbrink T, Waldow M, Yu H, Bogaerts W, Verheyen P, Lepage G, Pantouvaki M, ... ... Absil P, et al. Silicon-organic hybrid MZI modulator generating OOK, BPSK and 8-ASK signals for up to 84 Gbit/s Ieee Photonics Journal. 5. DOI: 10.1109/Jphot.2013.2258142  0.184
2007 Hoffmann T, Noda T, Felch S, Severi S, Parihar V, Forstner H, Vrancken C, De Potter M, Van Daele B, Bender H, Niwa M, Schreutelkamp R, Vandervorst W, Biesemans S, Absil PP. Laser annealed junctions: Process integration sequence optimization for advanced CMOS technologies Extended Abstracts of the 7th International Workshop On Junction Technology, Iwjt 2007. 137-140. DOI: 10.1109/IWJT.2007.4279968  0.18
2006 Loo R, Verheyen P, Eneman G, Rooyackers R, Leys F, Shamiryan D, Meyer KD, Absil PP, Caymax M. Characteristics of selective epitaxial SiGe deposition processes for recessed source/drain applications Thin Solid Films. 508: 266-269. DOI: 10.1016/j.tsf.2005.06.108  0.18
2007 Kittl JA, Lauwers A, Pawlak MA, Veloso A, Yu HY, Chang SZ, Hoffmann T, Pourtois G, Brus S, Demeurisse C, Vrancken C, Absil PP, Biesemans S. Modulation of the effective work function of fully-silicided (FUSI) gate stacks Microelectronic Engineering. 84: 1857-1860. DOI: 10.1016/J.Mee.2007.04.002  0.178
2008 Veloso A, Yu H, Lauwers A, Chang S, Adelmann C, Onsia B, Demand M, Brus S, Vrancken C, Singanamalla R, Lehnen P, Kittl J, Kauerauf T, Vos R, O′Sullivan B, ... ... Absil P, et al. Achieving low-VT Ni-FUSI CMOS via lanthanide incorporation in the gate stack Solid-State Electronics. 52: 1303-1311. DOI: 10.1016/j.sse.2008.04.011  0.177
2011 Ragnarsson LA, Chiarella T, Togo M, Schram T, Absil P, Hoffmann T. Ultrathin EOT high-κ/metal gate devices for future technologies: Challenges, achievements and perspectives (invited) Microelectronic Engineering. 88: 1317-1322. DOI: 10.1016/j.mee.2011.03.121  0.177
2008 Veloso A, Demuynck S, Ercken M, Goethals AM, Demand M, De Marneffe JF, Altamirano E, De Keersgieter A, Delvaux C, De Backer J, Brus S, Hermans J, Baudemprez B, Van Roey F, Lorusso GF, ... ... Absil P, et al. Full-field EUV and immersion lithography integration in 0.186um2 finFET 6T-SRAM cell Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2008.4796834  0.177
2009 Ortolland C, Rosseel E, Horiguchi N, Kerner C, Mertens S, Kittl J, Verleysen E, Bender H, Vandervost W, Lauwers A, Absil PP, Biesemans S, Muthukrishnan S, Srinivasan S, Mayur AJ, et al. Silicide yield improvement with NiPtSi formation by laser anneal for advanced low power platform CMOS technology Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2009.5424428  0.174
2015 Chen HT, Verheyen P, De Heyn P, Lepage G, De Coster J, Absil P, Roelkens G, Van Campenhout J. High-responsivity low-voltage 28-Gb/s Ge p-i-n photodetector with silicon contacts Journal of Lightwave Technology. 33: 820-824. DOI: 10.1109/JLT.2014.2367134  0.171
2012 Verheyen P, Lepage G, Van Campenhout J, Pantouvaki M, Absil P, De Heyn P, Bogaerts W, Dumon P, Selvaraja S. Co-integration of Ge detectors and Si modulators in an advanced Si photonics platform Proceedings of Spie - the International Society For Optical Engineering. 8431. DOI: 10.1117/12.921747  0.167
2009 Veloso A, Demuynck S, Ercken M, Goethals AM, Locorotondo S, Lazzarino F, Altamirano E, Huffman C, De Keersgieter A, Brus S, Dem M, Struyf H, De Backer J, Hermans J, Delvaux C, ... ... Absil P, et al. Demonstration of scaled 0.099μ2 FinFET 6T-SRAM cell using full-field EUV lithography for (Sub-)22nm node single-patterning technology Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2009.5424365  0.166
2016 Karmarkar AP, Guo W, Xu X, Van Der Plas G, Van Huylenbroeck S, Gonzalez M, Absil P, El Sayed K, Beyne E. Performance and Reliability Impact of Copper Plasticity in Backside TSV-Last Fabrication Process Ieee Transactions On Device and Materials Reliability. 16: 402-412. DOI: 10.1109/TDMR.2016.2596838  0.165
2007 Shickova A, Kaczer B, Simoen E, Verheyen P, Eneman G, Jurczak M, Absil P, Maes H, Groeseneken G. Dielectric quality and reliability of FUSI/HfSiON devices with process induced strain Microelectronic Engineering. 84: 1906-1909. DOI: 10.1016/J.Mee.2007.04.110  0.164
2011 Mitard J, De Jaeger B, Eneman G, Dobbie A, Myronov M, Kobayashi M, Geypen J, Bender H, Vincent B, Krom R, Franco J, Winderickx G, Vrancken E, Vanherle W, Wang WE, ... ... Absil PP, et al. High hole mobility in 65nm strained Ge p-channel field effect transistors with Hfo2 gate dielectric Japanese Journal of Applied Physics. 50. DOI: 10.1143/JJAP.50.04DC17  0.164
2007 Yu HY, Li MF, Lauwers A, Kittl JA, Singanamalla R, Veloso A, Hoffmann T, De Meyer K, Jurczak M, Absil P, Biesemans S. Advanced Ni-based FUlly SIlicidation (FUSI) technology for sub-45nm CMOS devices Icsict-2006: 2006 8th International Conference On Solid-State and Integrated Circuit Technology, Proceedings. 404-407. DOI: 10.1109/ICSICT.2006.306263  0.163
2007 Kittl JA, Lauwers A, Demeurisse C, Vrancken C, Kubicek S, Absil P, Biesemans S. Direct evidence of linewidth effect: Ni31Si12 and Ni3Si formation on 25nm Ni fully silicided gates Applied Physics Letters. 90: 172107. DOI: 10.1063/1.2732820  0.159
2009 Ortolland C, Ragnarsson LA, Kerner C, Chiarella T, Rosseel E, Okuno Y, Favia P, Richard O, Everaert JL, Schram T, Kubicek S, Absil PP, Biesemans S, Schreutelkamp R, Hoffmann T. Junction anneal sequence optimization for advanced high-k / metal gate CMOS technology Extended Abstracts of the 9th International Workshop On Junction Technology, Iwjt 2009. 54-57. DOI: 10.1109/IWJT.2009.5166219  0.159
2006 Pawlak B, Duffy R, Augendre E, Severi S, Janssens T, Absil P, Vandervorst W, Collart E, Felch S, Schreutelkamp R, Cowern N. The Carbon Co-implant with Spike RTA Solution for Phosphorus Extension Mrs Proceedings. 912. DOI: 10.1557/PROC-0912-C01-06  0.156
2016 Chasin A, Scholz M, Guo W, Franco J, Potoms G, Jourdain A, Linten D, Van Der Plas G, Absil P, Beyne E. Impact of wafer thinning on front-end reliability for 3D integration Ieee International Reliability Physics Symposium Proceedings. 2016: 6B21-6B26. DOI: 10.1109/IRPS.2016.7574562  0.154
2007 Cho HJ, Yu HY, Ragnarsson LA, Chang VS, Schram T, O'Sullivan BJ, Kubicek S, Mitsuhashi R, Akheyar A, Van Elshocht S, Witters T, Delabie A, Adelmann C, Röhr E, Singanamalla R, ... ... Absil PP, et al. Nitrogen profile and dielectric cap layer (Al2O3, Dy2O3, La2O3) engineering on Hf-silicate Proceedings 2007 Ieee International Conference On Integrated Circuit Design and Technology, Icicdt. 114-116. DOI: 10.1109/ICICDT.2007.4299552  0.152
2013 Korn D, Palmer R, Yu H, Schindler PC, Alloatti L, Baier M, Schmogrow R, Bogaerts W, Selvaraja S, Lepage G, Pantouvaki M, Wouters J, Verheyen P, Van Campenhout J, Absil P, et al. Silicon-organic hybrid (SOH) IQ modulator for 16QAM at 112 Gbit/s Optics Infobase Conference Papers. DOI: 10.1109/CLEOE-IQEC.2013.6801453  0.152
2010 Hellings G, Witters L, Krom R, Mitard J, Hikavyy A, Loo R, Schulze A, Eneman G, Kerner C, Franco J, Chiarella T, Takeoka S, Tseng J, Wang WE, Vandervorst W, ... Absil P, et al. Implant-free SiGe quantum well pFET: A novel, highly scalable and low thermal budget device, featuring raised source/drain and high-mobility channel Technical Digest - International Electron Devices Meeting, Iedm. 10.4.1-10.4.4. DOI: 10.1109/IEDM.2010.5703335  0.151
2010 Horiguchi N, Demuynck S, Ercken M, Locorotondo S, Lazzarino F, Altamirano E, Huffman C, Brus S, Demand M, Struyf H, De Backer J, Hermans J, Delvaux C, Vandeweyer T, Baerts C, ... ... Absil P, et al. High yield sub-0.1μm2 6T-SRAM cells, featuring high-k/metal-gate finfet devices, double gate patterning, a novel fin etch strategy, full-field EUV lithography and optimized junction design & layout Digest of Technical Papers - Symposium On Vlsi Technology. 23-24. DOI: 10.1109/VLSIT.2010.5556133  0.149
2006 Kubicek S, De Marneffe JF, Vrancken C, Chiarella T, Kerner C, Mertens S, Eyckens B, Delabie A, Veloso A, Hoffman T, Lauwers A, Kittl JA, Jurczak M, Biesemans S, Absil PP. A novel CMP-less integration scheme for dual work function Ni-FUSI CMOS Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2006.347006  0.149
2007 Shickova A, Kauerauf T, Rotlischild A, Aoulaiche M, Sahhaf S, Kaczer B, Veloso A, Torregiani C, Pantisano L, Lauwers A, Zahid M, Rost T, Tigelaar H, Pas M, Fretwell J, ... ... Absil PP, et al. Addressing key concerns for implementation of Ni FUSI into manufacturing for 45/32 nm CMOS Digest of Technical Papers - Symposium On Vlsi Technology. 158-159. DOI: 10.1109/VLSIT.2007.4339765  0.148
2019 Shickova AK, Verheyen P, Eneman G, San Andres E, Absil P, Kaczer B, Groeseneken G. NBTI Study on PMOS Devices with TiN/HfO2 Gate Stack and Process Induced Strain Ecs Transactions. 3: 253-261. DOI: 10.1149/1.2356285  0.147
2007 Yu HY, Singanamalla R, Ragnarsson LA, Chang VS, Cho HJ, Mitsuhashi R, Adelmann C, Van Elshocht S, Lehnen P, Chang SZ, Yin KM, Schram T, Kubicek S, De Gendt S, Absil P, et al. Demonstration of metal-gated low Vt n-MOSFETs using a poly-Si/ TaN/Dy2O3/SiON gate stack with a scaled EOT value Ieee Electron Device Letters. 28: 656-658. DOI: 10.1109/LED.2007.900308  0.143
2016 Chen H, Verheyen P, De Heyn P, Lepage G, De Coster J, Balakrishnan S, Absil P, Roelkens G, Van Campenhout J. Dark current analysis in high-speed germanium p-i-n waveguide photodetectors Journal of Applied Physics. 119. DOI: 10.1063/1.4953147  0.141
2011 Ortolland C, Togo M, Rosseel E, Mertens S, Kittl J, Absil PP, Lauwers A, Hoffmann T. New carbon-based thermal stability improvement technique for NiPtSi used in CMOS technology Microelectronic Engineering. 88: 578-582. DOI: 10.1016/j.mee.2010.07.008  0.136
2005 Eneman G, Verheyen P, Rooyackers R, Nouri F, Washington L, Degraeve R, Kaczer B, Moroz V, De Keersgieter A, Schreutelkamp R, Kawaguchi M, Kim Y, Samoilov A, Smith L, Absil PP, et al. Layout impact on the performance of a locally strained PMOSFET Digest of Technical Papers - Symposium On Vlsi Technology. 2005: 22-23. DOI: 10.1109/.2005.1469196  0.135
2014 Rakowski M, Ingels M, De Meyer K, Steyaert M, Absil P, Van Campenhout J. Highly sensitive, low-power, 10-20Gb/s transimpedance amplifier based on cascaded CMOS inverter gain stages 2014 Ieee Optical Interconnects Conference, Oi 2014. 115-116. DOI: 10.1109/OIC.2014.6886106  0.135
2015 Wang Z, Tian B, Pantouvaki M, Guo W, Absil P, Van Campenhout J, Merckling C, Van Thourhout D. Room-temperature InP distributed feedback laser array directly grown on silicon Nature Photonics. 9: 837-842. DOI: 10.1038/nphoton.2015.199  0.134
2010 Noda T, Vandervorst W, Vrancken C, Ortolland C, Rosseel E, Eyben P, Absil PP, Biesemans S, Hoffmann TY. Analysis of pocket profile deactivation and its impact on Vth variation for laser annealed device using an atomistic kinetic Monte Carlo approach Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2010.5703371  0.133
2006 Verheyen P, Severi S, Eneman G, Loo R, Shamiryan D, Rooyackers R, Demand M, Veloso A, Lauwers A, De Meyer K, Absil P, Jurczak M, Biesemans S. The combination of embedded Si1-xGe, S/D and metal gate options for high performance PMOS transistors Ecs Transactions. 3: 697-711. DOI: 10.1149/1.2355865  0.129
2006 Pawlak B, Augendre E, Severi S, Eyben P, Janssens T, Falepin A, Absil P, Vandervorst W, Felch S, Collart E, Schreutelkamp R, Cowern N. The Carbon Co-implant with Spike RTA Solution for Boron Extension Mrs Proceedings. 912. DOI: 10.1557/PROC-0912-C01-03  0.128
2006 Severi S, Augendre E, Falepin A, Kerner C, Ramos J, Eyben P, Vandervost W, Curatola C, Felch S, Nouri F, Kraus P, Parihar V, Noda T, Schreutelkamp R, Hoffmann TY, ... Absil P, et al. NMOS and PMOS metal gate transistors with junctions activated by laser annealing International Symposium On Vlsi Technology, Systems, and Applications, Proceedings. 119-120. DOI: 10.1109/VTSA.2006.251093  0.118
2007 Veloso A, Yu HY, Chang SZ, Adelmann C, Onsia B, Brus S, Demand M, Lauwers A, O'Sullivan BJ, Singanamalla R, Pourtois G, Lehnen P, Van Elshocht S, De Meyer K, Jurczak M, ... Absil PP, et al. Achieving low-VT Ni-FUSI CMOS by ultra-thin Dy2 O3 capping of hafnium silicate dielectrics Ieee Electron Device Letters. 28: 980-983. DOI: 10.1109/LED.2007.908505  0.114
2015 Guo W, Karmarkar AP, Xu X, Van Der Plas G, Van Huylenbroeck S, Gonzalez M, Absil P, El Sayed K, Beyne E. Analysis of copper plasticity impact in TSV-middle and backside TSV-last fabrication processes Proceedings - Electronic Components and Technology Conference. 2015: 1038-1044. DOI: 10.1109/ECTC.2015.7159723  0.114
2010 Chiarella T, Witters L, Mercha A, Kerner C, Rakowski M, Ortolland C, Ragnarsson L, Parvais B, De Keersgieter A, Kubicek S, Redolfi A, Vrancken C, Brus S, Lauwers A, Absil P, et al. Benchmarking SOI and bulk FinFET alternatives for PLANAR CMOS scaling succession Solid-State Electronics. 54: 855-860. DOI: 10.1016/j.sse.2010.04.010  0.112
2006 Yu HY, Kittl JA, Lauwers A, Singanamalla R, Demeurisse C, Kubicek S, Augendre E, Veloso A, Brus S, Vrancken C, Hoffmann T, Mertens S, Onsia B, Verbeeck R, Demand M, ... ... Absil PP, et al. Demonstration of a new approach towards 0.25V Low-Vt CMOS using Ni-based FUSI Digest of Technical Papers - Symposium On Vlsi Technology. 98-99.  0.108
2010 Ortolland C, Sahhaf S, Srividya V, Degraeve R, Saino K, Kim CS, Gilbert M, Kauerauf T, Cho MJ, Dehan M, Schram T, Togo M, Horiguchi N, Groeseneken G, Biesemans S, ... Absil PP, et al. Ion-implantation-based low-cost Hk/MG process for CMOS low-power application Digest of Technical Papers - Symposium On Vlsi Technology. 185-186. DOI: 10.1109/VLSIT.2010.5556221  0.108
2009 Ortolland C, Mathew S, Duffy R, Saino K, Kim CS, Mertens S, Horiguchi N, Vrancken C, Chiarella T, Kerner C, Absil PP, Lauwers A, Biesemans S, Hoffmann T. Carbon-based thermal stabilization techniques for junction and silicide engineering for high performance CMOS periphery in memory applications Proceedings of the 10th International Conference On Ultimate Integration of Silicon, Ulis 2009. 147-150. DOI: 10.1109/ULIS.2009.4897559  0.106
2006 Collart EJH, Felch SB, Pawlak BJ, Absil PP, Severi S, Janssens T, Vandervorst W. Co-implantation with conventional spike anneal solutions for 45 nm n -type metal-oxide-semiconductor ultra-shallow junction formation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 507-509. DOI: 10.1116/1.2151906  0.103
2014 Xing Y, Ako T, George JP, Korn D, Yu H, Verheyen P, Pantouvaki M, Lepage G, Absil P, Koos C, Leuthold J, Beeckman J, Bogaerts W. Direct digital control of an efficient silicon+liquid crystal phase shifter Ieee International Conference On Group Iv Photonics Gfp. 43-44. DOI: 10.1109/Group4.2014.6962029  0.1
2008 Schram T, Kubicek S, Rohr E, Brus S, Vrancken C, Chang SZ, Chang VS, Mitsuhashi R, Okuno Y, Akheyar A, Cho HJ, Hooker JC, Paraschiv V, Vos R, Sebai F, ... ... Absil PP, et al. Novel process to pattern selectively dual dielectric capping layers using soft-mask only Digest of Technical Papers - Symposium On Vlsi Technology. 44-45. DOI: 10.1109/VLSIT.2008.4588557  0.098
2007 Chang S, Yu H, Veloso A, Lauwers A, Delabie A, Everaert J, Kerner C, Absil P, Hoffmann T, Biesemans S. The Application of an Ultrathin ALD HfSiON Cap Layer on SiON Dielectrics for Ni-FUSI CMOS Technology Targeting at Low-Power Applications Ieee Electron Device Letters. 28: 634-636. DOI: 10.1109/LED.2007.899331  0.097
2007 Kittl JA, Pawlak MA, Torregiani C, Lauwers A, Demeurisse C, Vrancken C, Absil PP, Biesemans S, Detavernier C, Jordan-Sweet J, Lavoie C. Kinetics of Ni3Si2 formation in the Ni 2Si-NiSi thin film reaction from in situ measurements Applied Physics Letters. 91. DOI: 10.1063/1.2822411  0.096
2017 Hsu MM, Van Thourhout D, Pantouvaki M, Meersschaut J, Conard T, Richard O, Bender H, Favia P, Vila M, Cid R, Rubio-Zuazo J, Castro GR, Van Campenhout J, Absil P, Merckling C. Controlled orientation of molecular-beam-epitaxial BaTiO3on Si(001) using thickness engineering of BaTiO3and SrTiO3buffer layers Applied Physics Express. 10: 065501. DOI: 10.7567/APEX.10.065501  0.091
2007 Kittl JA, Pawlak MA, Torregiani C, Lauwers A, Demeurisse C, Vrancken C, Absil PP, Biesemans S, Coia C, Detavernier C, Jordan-Sweet J, Lavoie C. Transient and end silicide phase formation in thin film Ni/polycrystalline-Si reactions for fully silicided gate applications Applied Physics Letters. 91. DOI: 10.1063/1.2799247  0.088
2004 Van Meir V, Verhoye M, Absil P, Eens M, Balthazart J, Van der Linden A. Differential effects of testosterone on neuronal populations and their connections in a sensorimotor brain nucleus controlling song production in songbirds: a manganese enhanced-magnetic resonance imaging study. Neuroimage. 21: 914-23. PMID 15006658 DOI: 10.1016/J.Neuroimage.2003.10.007  0.084
2016 Hsu MM, Merckling C, El Kazzi S, Pantouvaki M, Richard O, Bender H, Meersschaut J, Van Campenhout J, Absil P, Van Thourhout D. Diffraction studies for stoichiometry effects in BaTiO3grown by molecular beam epitaxy on Ge(001) Journal of Applied Physics. 120: 225114. DOI: 10.1063/1.4972101  0.08
2007 Veloso A, Verheyen P, Vos R, Brus S, Ito S, Mitsuhashi R, Paraschiv V, Shi X, Onsia B, Arnauts S, Loo R, Lauwers A, Conard T, De Marneffe JF, Goossens D, ... ... Absil PP, et al. Strain enhanced FUSI/HfSiON technology with optimized CMOS process window Digest of Technical Papers - Symposium On Vlsi Technology. 200-201. DOI: 10.1109/VLSIT.2007.4339692  0.075
2007 Rosseel E, Lu JP, Hikavyy A, Verheyen P, Hoffmann T, Richard O, Geypen J, Bender H, Loo R, Absil P, McIntosh R, Felch SB, Schreutelkamp R. Impact of sub-melt laser annealing on Si1-x-Gex source /drain defectivity 15th Ieee International Conference On Advanced Thermal Processing of Semiconductors, Rtp 2007. 307-315. DOI: 10.1109/RTP.2007.4383859  0.074
2010 Noda T, Ortolland C, Vandervorst W, Vrancken C, Rosseel E, Clarysse T, Absil PP, Biesemans S, Hoffmann T. Laser annealed junctions: Pocket profile analysis using an atomistic kinetic Monte Carlo approach Digest of Technical Papers - Symposium On Vlsi Technology. 73-74. DOI: 10.1109/VLSIT.2010.5556177  0.073
2008 Noda T, Eyben P, Vandervorst W, Vrancken C, Rosseel E, Ortolland C, Clarysse T, Goossens J, De Keersgieter A, Felch S, Schreutelkamp R, Absil PP, Jurczak M, De Meyer K, Biesemans S, et al. Advanced 2D/3D simulations for laser annealed device using an atomistic kinetic monte carlo approach and scanning spreading resistance microscopy (SSRM) Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2008.4796745  0.071
2008 Gonzalez MB, Simoen E, Rosseel E, Verheyen P, Souriau L, Geypen J, Bender H, Hoffmann T, Loo R, Absil P, Claeys C. Impact of millisecond laser anneal on the thermal stress-induced defect creation in Si1-x Gex source/drain junctions Ecs Transactions. 13: 23-30. DOI: 10.1149/1.2911481  0.067
2014 Absil P. High-Speed-Datenverbindungen mit Silizium-Photonik Optik & Photonik. 9: 26-28. DOI: 10.1002/opph.201400056  0.061
2006 Noda T, Vandervorst W, Felch S, Parihar V, Vrancken C, Severi S, Falepin A, Janssens T, Bender H, Van Daele B, Eyben P, Niwa M, Schreutelkamp R, Nouri F, Absil PP, et al. Analysis of dopant diffusion and defect evolution during sub-millisecond non-melt laser annealing based on an atomistic kinetic Monte Carlo approach Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2006.346789  0.05
2007 Noda T, Vandervorst W, Felch S, Parihar V, Cuperus A, Mcintosh R, Vrancken C, Rosseel E, Bender H, Van Daele B, Niwa M, Umimoto H, Schreutelkamp R, Absil PP, Jurczak M, et al. Analysis of As, P diffusion and defect evolution during sub-millisecond non-melt laser annealing based on an atomistic kinetic Monte Carlo approach Technical Digest - International Electron Devices Meeting, Iedm. 955-958. DOI: 10.1109/IEDM.2007.4419111  0.047
2003 Absil P, Pinxten R, Balthazart J, Eens M. Effect of age and testosterone on autumnal neurogenesis in male European starlings (Sturnus vulgaris). Behavioural Brain Research. 143: 15-30. PMID 12842292 DOI: 10.1016/S0166-4328(03)00006-8  0.045
2019 Mertens S, Hoffmann TY, Vrancken C, Jakschik S, Richard O, Verleysen E, Bender H, Zhao C, Vandervorst W, Absil P, Lauwers A. NI (PT) SI Thermal Stability Improvement by Carbon Implantation Ecs Transactions. 13: 397-404. DOI: 10.1149/1.2911522  0.043
2002 Absil P, Papello M, Viglietti-Panzica C, Balthazart J, Panzica G. The medial preoptic nucleus receives vasotocinergic inputs in male quail: a tract-tracing and immunocytochemical study. Journal of Chemical Neuroanatomy. 24: 27-39. PMID 12084409 DOI: 10.1016/S0891-0618(02)00017-0  0.034
2003 Absil P, Pinxten R, Balthazart J, Eens M. Effects of testosterone on Reelin expression in the brain of male European starlings. Cell and Tissue Research. 312: 81-93. PMID 12712319 DOI: 10.1007/S00441-003-0701-9  0.022
2013 De Vroey S, Huynh H, Lepaumier H, Absil P, Thielens M. Corrosion Investigations In 2-ethanolamine Based Post- Combustion CO2 Capture Pilot Plants Energy Procedia. 37: 2047-2057. DOI: 10.1016/J.EGYPRO.2013.06.083  0.022
2002 Absil P, Braquenier JB, Balthazart J, Ball GF. Effects of lesions of nucleus taeniae on appetitive and consummatory aspects of male sexual behavior in Japanese quail. Brain, Behavior and Evolution. 60: 13-35. PMID 12239468 DOI: 10.1159/000064119  0.021
2007 Demeurisse C, Verheyen P, Opsomer K, Vrancken C, Absil P, Lauwers A. Thermal stability of NiPt- and Pt-silicide contacts on SiGe source/drain Microelectronic Engineering. 84: 2547-2551. DOI: 10.1016/j.mee.2007.05.065  0.01
2007 Yu HY, Lauwers A, Demeurisse C, Richard O, Mertens S, Opsomer K, Singanamalla R, Rosseel E, Absil P, Biesemans S. Electrical Properties of nMOSFETs Using the NiSi:Yb FUSI Electrode Ieee Electron Device Letters. 28: 154-156. DOI: 10.1109/LED.2006.889259  0.01
Hide low-probability matches.