Qinru Qiu - Publications

Affiliations: 
Electrical Engineering & Computer Science Syracuse University, Syracuse, NY, United States 
Area:
Computer Engineering

19 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Li Z, Li J, Ren A, Cai R, Ding C, Qian X, Draper J, Yuan B, Tang J, Qiu Q, Wang Y. HEIF: Highly Efficient Stochastic Computing-Based Inference Framework for Deep Neural Networks Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 38: 1543-1556. DOI: 10.1109/Tcad.2018.2852752  0.61
2019 Wang Z, Li C, Lin P, Rao M, Nie Y, Song W, Qiu Q, Li Y, Yan P, Strachan JP, Ge N, McDonald N, Wu Q, Hu M, Wu H, et al. In situ training of feed-forward and recurrent convolutional memristor networks Nature Machine Intelligence. 1: 434-442. DOI: 10.1038/S42256-019-0089-1  0.447
2018 Wang Z, Rao M, Han JW, Zhang J, Lin P, Li Y, Li C, Song W, Asapu S, Midya R, Zhuo Y, Jiang H, Yoon JH, Upadhyay NK, Joshi S, ... ... Qiu Q, et al. Capacitive neural network with neuro-transistors. Nature Communications. 9: 3208. PMID 30097585 DOI: 10.1038/S41467-018-05677-5  0.437
2018 Shrestha A, Ahmed K, Wang Y, Widemann DP, Moody AT, Essen BCV, Qiu Q. Modular Spiking Neural Circuits for Mapping Long Short-Term Memory on a Neurosynaptic Processor Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 8: 782-795. DOI: 10.1109/Jetcas.2018.2856117  0.585
2017 Chen Q, Luley R, Wu Q, Bishop M, Linderman RW, Qiu Q. AnRAD: A Neuromorphic Anomaly Detection Framework for Massive Concurrent Data Streams. Ieee Transactions On Neural Networks and Learning Systems. PMID 28328516 DOI: 10.1109/Tnnls.2017.2676110  0.499
2017 Li J, Yuan Z, Li Z, Ren A, Ding C, Draper J, Nazarian S, Qiu Q, Yuan B, Wang Y. Normalization and dropout for stochastic computing-based deep convolutional neural networks Integration. 65: 395-403. DOI: 10.1016/J.Vlsi.2017.11.002  0.649
2015 Shen H, Qiu Q. Chip multiprocessor performance modeling for contention aware task migration and frequency scaling Journal of Low Power Electronics. 11: 263-277. DOI: 10.1166/Jolpe.2015.1398  0.387
2014 Ge Y, Zhang Y, Qiu Q. Distributed task migration in a homogeneous many-core system for leakage and fan power reduction Journal of Low Power Electronics. 10: 550-565. DOI: 10.1166/Jolpe.2014.1357  0.457
2013 Shen H, Tan Y, Lu J, Wu Q, Qiu Q. Achieving autonomous power management using reinforcement learning Acm Transactions On Design Automation of Electronic Systems. 18: 24. DOI: 10.1145/2442087.2442095  0.504
2013 Qiu Q, Wu Q, Bishop M, Pino RE, Linderman RW. A parallel neuromorphic text recognition system and its implementation on a heterogeneous high-performance computing cluster Ieee Transactions On Computers. 62: 886-899. DOI: 10.1109/Tc.2012.50  0.489
2012 Ge Y, Zhang Y, Malani P, Wu Q, Qiu Q. Low Power Task Scheduling and Mapping for Applications with Conditional Branches on Heterogeneous Multi-Processor System Journal of Low Power Electronics. 8: 535-551. DOI: 10.1166/Jolpe.2012.1214  0.439
2012 Coskun AK, Lu YH, Qiu Q. Introduction to the special section on adaptive power management for energy and temperature-aware computing systems Acm Transactions On Design Automation of Electronic Systems. 18. DOI: 10.1145/2390191.2390192  0.357
2012 Ge Y, Qiu Q, Wu Q. A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems Ieee Transactions On Very Large Scale Integration Systems. 20: 1758-1771. DOI: 10.1109/Tvlsi.2011.2162348  0.525
2012 Liu S, Lu J, Wu Q, Qiu Q. Harvesting-Aware Power Management for Real-Time Systems With Renewable Energy Ieee Transactions On Very Large Scale Integration Systems. 20: 1473-1486. DOI: 10.1109/Tvlsi.2011.2159820  0.617
2011 Lu YH, Qiu Q, Butt AR, Cameron KW. End-to-end energy management Computer. 44: 75-77. DOI: 10.1109/Mc.2011.342  0.4
2001 Qiu Q, Wu Q, Pedram M. Stochastic modeling of a power-managed system - Construction and optimization Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 20: 1200-1217. DOI: 10.1109/43.952737  0.584
2001 Wu Q, Qiu Q, Pedram M. Estimation of peak power dissipation in VLSI circuits using the limiting distributions of extreme order statistics Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 20: 942-956. DOI: 10.1109/43.936376  0.608
1999 Wu X, Qiu Q, Pedram M. A synthesis methodology for ECL circuits based on mixed voltage-current representation Journal of Electronics (China). 16: 359-366. DOI: 10.1007/S11767-999-0038-Y  0.479
1998 Wu Q, Qiu Q, Pedram M, Ding C. Cycle-accurate macro-models for RT-level power analysis Ieee Transactions On Very Large Scale Integration Systems. 6: 520-528. DOI: 10.1109/92.736123  0.625
Show low-probability matches.