Meeta S. Gupta, Ph.D. - Publications

Affiliations: 
2009 Harvard University, Cambridge, MA, United States 

5 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2017 Lu Q, Li G, Pattabiraman K, Gupta MS, Rivers JA. Configurable Detection of SDC-causing Errors in Programs Acm Transactions in Embedded Computing Systems. 16: 88. DOI: 10.1145/3014586  0.334
2011 Rivers JA, Gupta MS, Shin J, Kudva PN, Bose P. Error Tolerance in Server Class Processors Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 945-959. DOI: 10.1109/Tcad.2011.2158100  0.368
2010 Reddi VJ, Campanoni S, Gupta MS, Smith MD, Wei GY, Brooks D, Hazelwood K. Eliminating voltage emergencies via software-guided code transformations Transactions On Architecture and Code Optimization. 7. DOI: 10.1145/1839667.1839674  0.525
2010 Reddi VJ, Gupta M, Holloway G, Smith MD, Wei G, Brooks D. Predicting Voltage Droops Using Recurring Program and Microarchitectural Event Activity Ieee Micro. 30: 110-110. DOI: 10.1109/Mm.2010.25  0.503
2000 Brooks DM, Bose P, Schuster SE, Jacobson H, Kudva PN, Buyuktosunoglu A, Wellman J, Zyuban V, Gupta M, Cook PW. Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors Ieee Micro. 20: 26-44. DOI: 10.1109/40.888701  0.475
Show low-probability matches.