Year |
Citation |
Score |
2020 |
Park D, Pal S, Feng S, Gao P, Tan J, Rovinski A, Xie S, Zhao C, Amarnath A, Wesley T, Beaumont J, Chen K, Chakrabarti C, Taylor MB, Mudge T, et al. A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix–Matrix Multiplication Accelerator Ieee Journal of Solid-State Circuits. 55: 933-944. DOI: 10.1109/Jssc.2019.2960480 |
0.769 |
|
2019 |
Chen H, Lee S, Mudge T, Wu C, Chakrabarti C. Configurable-ECC: Architecting a Flexible ECC Scheme to Support Different Sized Accesses in High Bandwidth Memory Systems Ieee Transactions On Computers. 68: 646-659. DOI: 10.1109/Tc.2018.2886884 |
0.377 |
|
2017 |
Pinckney N, Jeloka S, Dreslinski R, Mudge T, Sylvester D, Blaauw D, Shifren L, Cline B, Sinha S. Impact of FinFET on Near-Threshold Voltage Scalability Ieee Design & Test. 34: 31-38. DOI: 10.1109/Mdat.2016.2630303 |
0.684 |
|
2016 |
Hauswald J, Laurenzano MA, Zhang Y, Yang H, Kang Y, Li C, Rovinski A, Khurana A, Dreslinski RG, Mudge T, Petrucci V, Tang L, Mars J. Designing future warehouse-scale computers for sirius, an end-to-end voice and vision personal assistant Acm Transactions On Computer Systems. 34. DOI: 10.1145/2870631 |
0.693 |
|
2016 |
Chen H, Jeloka S, Arunkumar A, Blaauw D, Wu C, Mudge T, Chakrabarti C. Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems Ieee Transactions On Computers. 65: 3766-3779. DOI: 10.1109/Tc.2016.2550455 |
0.324 |
|
2016 |
Hauswald J, Laurenzano MA, Zhang Y, Li C, Rovinski A, Khurana A, Dreslinski RG, Mudge T, Petrucci V, Tang L, Mars J. Sirius Implications for Future Warehouse-Scale Computers Ieee Micro. 36: 42-53. DOI: 10.1109/Mm.2016.37 |
0.654 |
|
2016 |
Chen Y, Chiotellis N, Chuo L, Pfeiffer C, Shi Y, Dreslinski RG, Grbic A, Mudge T, Wentzloff DD, Blaauw D, Kim HS. Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes Ieee Journal On Selected Areas in Communications. 34: 3962-3977. DOI: 10.1109/Jsac.2016.2612041 |
0.655 |
|
2016 |
Chen Y, Lu S, Kim HS, Blaauw D, Dreslinski RG, Mudge T. A low power software-defined-radio baseband processor for the Internet of Things Proceedings - International Symposium On High-Performance Computer Architecture. 2016: 40-51. DOI: 10.1109/HPCA.2016.7446052 |
0.697 |
|
2015 |
Mudge T. The specialization trend in computer hardware Communications of the Acm. 58: 84. DOI: 10.1145/2735839 |
0.32 |
|
2014 |
Gutierrez A, Dreslinski RG, Mudge T. Evaluating private vs. shared last-level caches for energy efficiency in asymmetric multi-cores Proceedings - International Conference On Embedded Computer Systems: Architectures, Modeling and Simulation, Samos 2014. 191-198. DOI: 10.1109/SAMOS.2014.6893211 |
0.66 |
|
2014 |
Hauswald J, Manville T, Zheng Q, Dreslinski R, Chakrabarti C, Mudge T. A hybrid approach to offloading mobile image classification Icassp, Ieee International Conference On Acoustics, Speech and Signal Processing - Proceedings. 8375-8379. DOI: 10.1109/ICASSP.2014.6855235 |
0.635 |
|
2013 |
Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A many-core prototype exploring 3d integration and near-threshold computing Communications of the Acm. 56: 97-104. DOI: 10.1145/2524713.2524725 |
0.743 |
|
2013 |
Pinckney N, Dreslinski RG, Sewell K, Fick D, Mudge T, Sylvester D, Blaauw D. Limits of parallelism and boosting in dim silicon Ieee Micro. 33: 30-37. DOI: 10.1109/Mm.2013.73 |
0.789 |
|
2013 |
Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A 64-Core, 3D stacked near-threshold system Ieee Micro. 33: 8-16. DOI: 10.1109/Mm.2013.4 |
0.736 |
|
2013 |
Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Blaauw D, Sylvester D. Centip3De: A cluster-based NTC architecture with 64 ARM cortex-M3 cores in 3D stacked 130 nm CMOS Ieee Journal of Solid-State Circuits. 48: 104-117. DOI: 10.1109/Jssc.2012.2222814 |
0.737 |
|
2012 |
Gutierrez A, Pusdesris J, Dreslinski RG, Mudge T. Lazy cache invalidation for self-modifying codes Cases'12 - Proceedings of the 2012 Acm International Conference On Compilers, Architectures and Synthesis For Embedded Systems, Co-Located With Esweek. 151-160. DOI: 10.1145/2380403.2380433 |
0.643 |
|
2012 |
Hormati A, Samadi M, Woh M, Mudge T, Mahlke S. Sponge: Portable stream programming on graphics engines Acm Sigplan Notices. 47: 381-392. DOI: 10.1145/2248487.1950409 |
0.773 |
|
2012 |
Sethia A, Dasika G, Mudge T, Mahlke S. A customized processor for energy efficient scientific computing Ieee Transactions On Computers. 61: 1711-1723. DOI: 10.1109/Tc.2012.144 |
0.456 |
|
2012 |
Sewell K, Dreslinski RG, Manville T, Satpathy S, Pinckney N, Blake G, Cieslak M, Das R, Wenisch TF, Sylvester D, Blaauw D, Mudge T. Swizzle-switch networks for many-core systems Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 2: 278-294. DOI: 10.1109/Jetcas.2012.2193936 |
0.791 |
|
2011 |
Dasika G, Sethia A, Mudge T, Mahlke S. PEPSC: A power-efficient processor for scientific computing Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 100-110. DOI: 10.1109/PACT.2011.16 |
0.346 |
|
2011 |
Blake G, Dreslinski RG, Mudge T. Bloom filter guided transaction scheduling Proceedings - International Symposium On High-Performance Computer Architecture. 75-86. DOI: 10.1109/HPCA.2011.5749718 |
0.549 |
|
2011 |
Woh M, Lin Y, Seo S, Mahlke S, Mudge T. Analyzing the next generation software defined radio for future architectures Journal of Signal Processing Systems. 63: 83-94. DOI: 10.1007/s11265-009-0402-z |
0.785 |
|
2011 |
Satpathy S, Dreslinski R, Ou TC, Sylvester D, Mudge T, Blaauw D. SWIFT: A 2.1Tb/s 32x32 self-arbitrating manycore interconnect fabric Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 138-139. |
0.561 |
|
2010 |
Blake G, Dreslinski RG, Mudge T, Flautner K. Evolution of thread-level parallelism in desktop applications Proceedings - International Symposium On Computer Architecture. 302-313. DOI: 10.1145/1815961.1816000 |
0.778 |
|
2010 |
Wieckowski M, Dreslinski RG, Mudge T, Blaauw D, Sylvester D. Circuit design advances for ultra-low power sensing platforms Proceedings of Spie - the International Society For Optical Engineering. 7679. DOI: 10.1117/12.850720 |
0.701 |
|
2010 |
Chen G, Sylvester D, Blaauw D, Mudge T. Yield-driven near-threshold SRAM design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 1590-1598. DOI: 10.1109/Tvlsi.2009.2025766 |
0.361 |
|
2010 |
Lee H, Chakrabarti C, Mudge T. A low-power DSP for wireless communications Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 1310-1322. DOI: 10.1109/Tvlsi.2009.2023547 |
0.47 |
|
2010 |
Woh M, Seo S, Mahlke S, Mudge T, Chakrabarti C, Flautner K. AnySP: Anytime anywhere anyway signal processing Ieee Micro. 30: 81-91. DOI: 10.1109/Mm.2010.8 |
0.787 |
|
2010 |
Mudge T, Holzle U. Challenges and opportunities for extremely energy-efficient processors Ieee Micro. 30: 20-22. DOI: 10.1109/Mm.2010.61 |
0.383 |
|
2010 |
Mudge T. Guest editor's introduction: Top picks from the computer architecture conferences of 2009 Ieee Micro. 30: 8-11. DOI: 10.1109/Mm.2010.19 |
0.345 |
|
2010 |
Woh M, Mahlke S, Mudge T, Chakrabarti C. Mobile supercomputers for the next-generation cell phone Computer. 43: 81-85. DOI: 10.1109/Mc.2010.16 |
0.782 |
|
2010 |
Woh M, Seo S, Chakrabarti C, Mahlke S, Mudge T. An ultra low power SIMD processor for wireless devices Conference Record - Asilomar Conference On Signals, Systems and Computers. 390-394. DOI: 10.1109/ACSSC.2010.5757542 |
0.796 |
|
2009 |
Blake G, Dreslinski RG, Mudge T. Proactive transaction scheduling for contention management Proceedings of the Annual International Symposium On Microarchitecture, Micro. 156-167. DOI: 10.1145/1669112.1669133 |
0.647 |
|
2009 |
Roberts D, Kgil T, Mudge T. Integrating NAND flash devices onto servers Communications of the Acm. 52: 98-106. DOI: 10.1145/1498765.1498791 |
0.397 |
|
2009 |
Blake G, Dreslinski RG, Mudge T. A survey of multicore processors: A review of their common attributes Ieee Signal Processing Magazine. 26: 26-37. DOI: 10.1109/Msp.2009.934110 |
0.705 |
|
2009 |
Lim K, Ranganathan P, Chang J, Patel C, Mudge T, Reinhardt SK. Server designs for warehouse-computing environments Ieee Micro. 29: 41-49. DOI: 10.1109/Mm.2009.14 |
0.391 |
|
2008 |
Kgil T, Saidi A, Binkert N, Reinhardt S, Flautner K, Mudge T. PicoServer: Using 3D stacking technology to build energy efficient servers Acm Journal On Emerging Technologies in Computing Systems. 4. DOI: 10.1145/1412587.1412589 |
0.702 |
|
2008 |
Karl E, Blaauw D, Sylvester D, Mudge T. Multi-mechanism reliability modeling and management in dynamic systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 16: 476-487. DOI: 10.1109/Tvlsi.2007.915477 |
0.354 |
|
2008 |
Kgil T, Roberts D, Mudge T. Improving NAND flash based disk caches Proceedings - International Symposium On Computer Architecture. 327-338. DOI: 10.1109/ISCA.2008.32 |
0.32 |
|
2008 |
Who M, Lin Y, Seo S, Mudge T, Mahlke S. Analyzing the scalability of SIMD for the next generation software defined radio Icassp, Ieee International Conference On Acoustics, Speech and Signal Processing - Proceedings. 5388-5391. DOI: 10.1109/ICASSP.2008.4518878 |
0.317 |
|
2008 |
Roberts D, Kim NS, Mudge T. On-chip cache device scaling limits and effective fault repair techniques in future nanoscale technology Microprocessors and Microsystems. 32: 244-253. DOI: 10.1016/J.Micpro.2008.03.012 |
0.366 |
|
2008 |
Özer E, Dreslinski RG, Mudge T, Biles S, Flautner K. Energy-efficient simultaneous thread fetch from different cache levels in a soft real-time SMT processor Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 5114: 12-22. DOI: 10.1007/978-3-540-70550-5_3 |
0.773 |
|
2007 |
Lin Y, Kudlur M, Mahlke S, Mudge T. Hierarchical coarse-grained stream compilation for software defined radio Cases'07: Proceedings of the 2007 International Conference On Compilers, Architecture, and Synthesis For Embedded Systems. 115-124. DOI: 10.1145/1289881.1289903 |
0.312 |
|
2007 |
Dreslinski RG, Saidi AG, Mudge T, Reinhardt SK. Analysis of hardware prefetching across virtual page boundaries 2007 Computing Frontiers, Conference Proceedings. 13-22. DOI: 10.1145/1242531.1242537 |
0.575 |
|
2007 |
Lin Y, Lee H, Woh M, Harel Y, Mahlke S, Mudge T, Chakrabarti C, Flautner K. SODA: A high-performance DSP architecture for software-defined radio Ieee Micro. 27: 114-123. DOI: 10.1109/Mm.2007.22 |
0.791 |
|
2006 |
Jerraya A, Mudge T. Guest editorial: Concurrent hardware and software design for multiprocessor SoC Acm Transactions in Embedded Computing Systems. 5: 259-262. DOI: 10.1145/1151074.1151075 |
0.31 |
|
2006 |
Das S, Roberts D, Lee S, Pant S, Blaauw D, Austin T, Flautner K, Mudge T. A self-tuning DVS processor using delay-error detection and correction Ieee Journal of Solid-State Circuits. 41: 792-804. DOI: 10.1109/Jssc.2006.870912 |
0.684 |
|
2005 |
Kgil T, Falk L, Mudge T. ChipLock: support for secure microarchitectures Acm Sigarch Computer Architecture News. 33: 134-143. DOI: 10.1145/1055626.1055644 |
0.382 |
|
2005 |
Kim NS, Blaauw D, Mudge T. Quantitative analysis and optimization techniques for on-chip cache leakage power Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 1147-1156. DOI: 10.1109/Tvlsi.2005.859476 |
0.415 |
|
2005 |
Kim NS, Flautner K, Blaauw D, Mudge T. Erratum: Circuit and microarchitectural techniques for reducing cache leakage power (IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Feb. 2004) 12:2 (167-184)) Ieee Transactions On Very Large Scale Integration Systems. 13. DOI: 10.1109/Tvlsi.2005.845312 |
0.698 |
|
2005 |
Oehmke DW, Binkert NL, Mudge T, Reinhardt SK. How to fake 1000 registers Proceedings of the Annual International Symposium On Microarchitecture, Micro. 7-18. DOI: 10.1109/MICRO.2005.21 |
0.712 |
|
2005 |
Roberts D, Austin T, Blauww D, Mudge T, Flautner K. Error analysis for the support of robust voltage scaling Proceedings - International Symposium On Quality Electronic Design, Isqed. 65-70. DOI: 10.1109/ISQED.2005.53 |
0.649 |
|
2005 |
Cheng AC, Tyson GS, Mudge TN. PowerFITS: Reduce dynamic and static i-cache power using application specific instruction set synthesis Ispass 2005 - Ieee International Symposium On Performance Analysis of Systems and Software. 2005: 32-41. DOI: 10.1109/ISPASS.2005.1430557 |
0.345 |
|
2005 |
Bai R, Kim NS, Kgil TH, Sylvester D, Mudge T. Power-performance trade-offs in nanometer-scale multi-level caches considering total leakage Proceedings -Design, Automation and Test in Europe, Date '05. 650-651. DOI: 10.1109/DATE.2005.243 |
0.737 |
|
2004 |
Kim NS, Flautner K, Blaauw D, Mudge T. Circuit and Microarchitectural Techniques for Reducing Cache Leakage Power Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 167-184. DOI: 10.1109/Tvlsl.2003.821550 |
0.719 |
|
2004 |
Ernst D, Das S, Lee S, Blaauw D, Austin T, Mudge T, Kim NS, Flautner K. Razor: Circuit-level correction of timing errors for low-power operation Ieee Micro. 24: 10-20. DOI: 10.1109/Mm.2004.85 |
0.693 |
|
2004 |
Austin T, Blaauw D, Mahlke S, Mudge T, Chakrabarti C, Wolf W. Mobile supercomputers Computer. 37: 81-83. DOI: 10.1109/Mc.2004.1297253 |
0.413 |
|
2004 |
Austin T, Blaauw D, Mudge T, Flautner K. Making typical silicon matter with razor Computer. 37: 57-65. DOI: 10.1109/Mc.2004.1274005 |
0.723 |
|
2004 |
Kim NS, Flautner K, Blaauw D, Mudge T. Single-VDD and Single-VT Super-Drowsy Techniques for Low-Leakage High-Performance Instruction Caches Proceedings of the International Symposium On Low Power Electronics and Design. 2004: 54-57. DOI: 10.1109/LPE.2004.240783 |
0.682 |
|
2004 |
Kim NS, Flautner K, Blaauw D, Mudge T. Single-V DD and single-V T super-drowsy techniques for low-leakage high-performance instruction caches Proceedings of the 2004 International Symposium On Lower Power Electronics and Design, Islped'04. 54-57. |
0.683 |
|
2003 |
Kim NS, Austin T, Blaauw D, Mudge T, Flautner K, Hu JS, Jane Irwin M, Kandemir M, Narayanan V. Leakage Current: Moore's Law Meets Static Power Computer. 36. DOI: 10.1109/Mc.2003.1250885 |
0.691 |
|
2002 |
Flautner K, Mudge T. Vertigo: Automatic performance-setting for linux+ Operating Systems Review (Acm). 36: 105-116. DOI: 10.1145/844128.844139 |
0.691 |
|
2002 |
Martin SM, Flautner K, Mudge T, Blaauw D. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 721-725. DOI: 10.1145/774572.774678 |
0.689 |
|
2002 |
Blaauw D, Martin S, Mudge T, Flautner K. Leakage current reduction in VLSI systems Journal of Circuits, Systems and Computers. 11: 621-635. DOI: 10.1142/S0218126602000665 |
0.678 |
|
2002 |
Kim NS, Flautner K, Blaauw D, Mudge T. Drowsy instruction caches. Leakage power reduction using dynamic voltage scaling and cache sub-bank prediction Proceedings of the Annual International Symposium On Microarchitecture, Micro. 2002: 219-230. DOI: 10.1109/MICRO.2002.1176252 |
0.71 |
|
2002 |
Flautner K, Kim NS, Martin S, Blaauw D, Mudge T. Drowsy caches: Simple techniques for reducing leakage power Conference Proceedings - Annual International Symposium On Computer Architecture, Isca. 148-157. |
0.696 |
|
2001 |
Mudge T. Power: A first-class architectural design constraint Computer. 34: 52-58. DOI: 10.1109/2.917539 |
0.42 |
|
2001 |
Cuppu V, Jacob B, Davis B, Mudge T. High-performance DRAMs in workstation environments Ieee Transactions On Computers. 50: 1133-1153. DOI: 10.1109/12.966491 |
0.662 |
|
2001 |
Jacob B, Mudge T. Uniprocessor virtual memory without TLBs Ieee Transactions On Computers. 50: 482-499. DOI: 10.1109/12.926161 |
0.644 |
|
2001 |
Flautner K, Reinhardt S, Mudge T. Automatic performance setting for dynamic voltage scaling Proceedings of the Annual International Conference On Mobile Computing and Networking, Mobicom. 260-271. DOI: 10.1023/A:1016546330128 |
0.713 |
|
2001 |
Flautner K, Reinhardt S, Mudge T. Automatic performance setting for dynamic voltage scaling Proceedings of the Annual International Conference On Mobile Computing and Networking, Mobicom. 260-271. DOI: 10.1023/A:1016546330128 |
0.691 |
|
2000 |
Eden AN, Joh BW, Mudge T. Web latency reduction via client-side prefetching 2000 Ieee International Symposium On Performance Analysis of Systems and Software, Ispass 2000. 193-200. DOI: 10.1109/ISPASS.2000.842300 |
0.681 |
|
2000 |
Van Campenhout D, Mudge T, Hayes JP. Collection and analysis of microprocessor design errors Ieee Design and Test of Computers. 17: 51-60. DOI: 10.1109/54.895006 |
0.522 |
|
2000 |
Davis B, Jacob B, Mudge T. The new DRAM interfaces: SDRAM, RDRAM and variants Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 1940: 26-31. |
0.585 |
|
2000 |
Flautner K, Uhlig R, Reinhardt S, Mudge T. Thread-level parallelism and interactive performance of desktop applications International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 129-138. |
0.675 |
|
1999 |
Flautner K, Tyson GS, Mudge T. A high level simulator integrated with the Mirv compiler Acm Sigarch Computer Architecture News. 27: 43-46. DOI: 10.1145/309758.309778 |
0.654 |
|
1998 |
Campenhout DV, Al-Asaad H, Hayes JP, Mudge T, Brown RB. High-level design verification of microprocessors via error modeling Acm Transactions On Design Automation of Electronic Systems (Todaes). 3: 581-599. DOI: 10.1145/296333.296347 |
0.518 |
|
1998 |
Jacob B, Mudge T. Virtual memory in contemporary microprocessors Ieee Micro. 18: 60-75. DOI: 10.1109/40.710872 |
0.606 |
|
1998 |
Jacob B, Mudge T. Virtual memory: Issues of implementation Computer. 31: 33-43. DOI: 10.1109/2.683005 |
0.605 |
|
1998 |
Eden AN, Mudge T. YAGS branch prediction scheme Proceedings of the Annual International Symposium On Microarchitecture. 69-77. |
0.662 |
|
1998 |
Jacob BL, Mudge TN. A Look at Several Memory Management Units, TLB-Refill Mechanisms, and Page Table Organizations Operating Systems Review (Acm). 32: 295-306. |
0.59 |
|
1997 |
Uhlig R, Nagle D, Mudge T, Sechrest S. Trap-driven memory simulation with Tapeworm II Acm Transactions On Modeling and Computer Simulation. 7: 7-41. DOI: 10.1145/244804.244805 |
0.304 |
|
1996 |
Mudge T. Strategic directions in computer architecture Acm Computing Surveys. 28: 671-678. DOI: 10.1145/242223.242271 |
0.359 |
|
1994 |
Uhlig R, Nagle D, Stanley T, Mudge T, Sechrest S, Brown R. Design Tradeoffs for Software-Managed TLBs Acm Transactions On Computer Systems (Tocs). 12: 175-205. DOI: 10.1145/185514.185515 |
0.339 |
|
1993 |
Sakallah KA, Mudge TN, Burks TM, Davidson ES. Synchronization of Pipelines Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 12: 1132-1146. DOI: 10.1109/43.238606 |
0.574 |
|
1989 |
Clapp R, Mudge T. Ada on a hypercube Acm Sigada Ada Letters. 118-128. DOI: 10.1145/66031.66040 |
0.308 |
|
1986 |
Hayes JP, Mudge T, Stout QF, Colley S, Palmer J. A Microprocessor-based Hypercube Supercomputer Ieee Micro. 6: 6-17. DOI: 10.1109/Mm.1986.304707 |
0.533 |
|
1986 |
Mudge TN, Hayes JP, Buzzard GD, Winsor DC. Analysis of multiple-bus interconnection networks Journal of Parallel and Distributed Computing. 3: 328-343. DOI: 10.1016/0743-7315(86)90019-5 |
0.499 |
|
Low-probability matches (unlikely to be authored by this person) |
2003 |
Kim NS, Mudge T. Reducing Register Ports Using Delayed Write-Back Queues and Operand Pre-Fetch Proceedings of the International Conference On Supercomputing. 172-182. |
0.296 |
|
2000 |
Mudge T. Power: A first class design constraint for future architectures Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 1970: 215-224. |
0.296 |
|
2016 |
Mudge T, Chong FT, Markov IL, Sendag R, Yi JJ, Chiou D. Impact of Future Technologies on Architecture Ieee Micro. 36: 48-56. DOI: 10.1109/Mm.2016.71 |
0.294 |
|
2016 |
Chen H, Wu C, Mudge T, Chakrabarti C. RATT-ECC Acm Transactions On Architecture and Code Optimization. 13: 1-24. DOI: 10.1145/2957758 |
0.292 |
|
2003 |
Kim SN, Blaauw D, Mudge T. Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level Caches Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 627-632. |
0.286 |
|
2009 |
Choi Y, Lin Y, Chong N, Mahlke S, Mudge T. Stream compilation for real-time embedded multicore systems Proceedings of the 2009 Cgo - 7th International Symposium On Code Generation and Optimization. 210-220. DOI: 10.1109/CGO.2009.27 |
0.284 |
|
2004 |
Kim NS, Kgil T, Bertacco V, Austin T, Mudge T. Microarchitectural Power Modeling Techniques for Deep Sub-Micron Microprocessors Proceedings of the International Symposium On Low Power Electronics and Design. 2004: 212-217. DOI: 10.1109/LPE.2004.240995 |
0.282 |
|
2005 |
Kaul H, Sylvester D, Blaauw D, Mudge T, Austin T. DVS for on-chip bus designs based on timing error correction Proceedings -Design, Automation and Test in Europe, Date '05. 80-85. DOI: 10.1109/DATE.2005.125 |
0.282 |
|
2006 |
Kgil T, Mudge T. FlashCache: A NAND flash memory file cache for low power web servers Cases 2006: International Conference On Compilers, Architecture and Synthesis For Embedded Systems. 103-112. DOI: 10.1145/1176760.1176774 |
0.28 |
|
2005 |
Lee H, Mudge T. A dual-processor solution for the MAC layer of a software defined radio terminal Cases 2005: International Conference On Compilers, Architecture, and Synthesis For Embedded Systems. 257-265. |
0.279 |
|
2001 |
Postiff M, Greene D, Raasch S, Mudge T. Integrating superscalar processor components to implement register caching Proceedings of the International Conference On Supercomputing. 348-357. |
0.276 |
|
2003 |
Guang G, Mudge T. Special issue on compilers, architecture, and synthesis for embedded systems Acm Transactions in Embedded Computing Systems. 2: 131-131. DOI: 10.1145/643470.643471 |
0.275 |
|
2005 |
Bai R, Kim NS, Sylvester D, Mudge T. Total leakage optimization strategies for multi-level caches Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 381-384. |
0.274 |
|
1990 |
Clapp RM, Mudge T. Taxonomy of benchmarks Acm Sigada Ada Letters. 14-19. DOI: 10.1145/322837.322840 |
0.274 |
|
1995 |
Davis BT, Mudge T. A Verilog preprocessor for representing datapath components Image and Vision Computing. 90-98. DOI: 10.1109/Ivc.1995.512502 |
0.272 |
|
1997 |
Olukotun K, Mudge TN, Brown RB. Multilevel optimization of pipelined caches Ieee Transactions On Computers. 46: 1093-1102. DOI: 10.1109/12.628394 |
0.27 |
|
1990 |
Clapp RM, Mudge T. The time problem Acm Sigada Ada Letters. 20-28. DOI: 10.1145/322837.322841 |
0.27 |
|
2009 |
Roberts D, Kgil T, Mudge T. Using non-volatile memory to save energy in servers Proceedings -Design, Automation and Test in Europe, Date. 743-748. |
0.269 |
|
1990 |
Clapp RM, Mudge T. A rationale for the design and implementation of Ada benchmark programs Acm Sigada Ada Letters. 8-13. DOI: 10.1145/322837.322839 |
0.269 |
|
2005 |
Kim NS, Kgil T, Bowman K, De V, Mudge T. Total power-optimal pipelining and parallel processing under process variations in nanometer technology Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 2005: 534-539. DOI: 10.1109/ICCAD.2005.1560125 |
0.268 |
|
1999 |
Postiff M, Tyson G, Mudge T. Performance limits of trace caches Journal of Instruction-Level Parallelism. 1. |
0.268 |
|
2000 |
Flautner K, Uhlig R, Reinhardt S, Mudge T. Thread-level parallelism and interactive performance of desktop applications International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 129-138. |
0.265 |
|
2005 |
Mudge T. Performance and power analysis of computer systems Codes+Isss 2005 - International Conference On Hardware/Software Codesign and System Synthesis. 2. |
0.262 |
|
1981 |
Mudge T. A Course Sequence in Microprocessor-Based Digital Systems Design Ieee Transactions On Education. 24: 14-21. DOI: 10.1109/Te.1981.4321431 |
0.261 |
|
2004 |
Cheng A, Tyson G, Mudge T. FITS: Framework-based instruction-set tuning synthesis for embedded application specific processors Proceedings - Design Automation Conference. 920-923. |
0.254 |
|
1980 |
Mudge T. Review of The structure of computers and computation Vol. I by David J. Kuck. John Wiley & and Sons 1978 Acm Sigarch Computer Architecture News. 8: 44-45. DOI: 10.1145/641914.641922 |
0.252 |
|
2009 |
Ringenberg J, Mudge T. Suitespecks and suitespots: A methodology for the automatic conversion of benchmarking programs into intrinsically checkpointed assembly code Ispass 2009 - International Symposium On Performance Analysis of Systems and Software. 227-237. DOI: 10.1109/ISPASS.2009.4919654 |
0.251 |
|
1985 |
Al-Sadoun HB, Olukotun OA, Mudge TN. INTERCONNECTING OFF-THE-SHELF MICROPROCESSORS Afips Conference Proceedings. 54: 175-181. |
0.249 |
|
2007 |
Mudge T. Multicore architectures Cases'07: Proceedings of the 2007 International Conference On Compilers, Architecture, and Synthesis For Embedded Systems. 208. DOI: 10.1145/1289881.1289883 |
0.243 |
|
2007 |
Seo S, Mudge T, Zhu Y, Chakrabarti C. Design and analysis of LDPC decoders for software defined radio Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 210-215. DOI: 10.1109/SIPS.2007.4387546 |
0.242 |
|
1991 |
Olukotun OA, Mudge TN, Brown RB. Implementing a cache for a high-performance GaAs microprocessor Conference Proceedings - Annual Symposium On Computer Architecture. 138-147. |
0.241 |
|
1982 |
Delp EJ, Mudge TN, Siegel LJ, Siegel HJ. Parallel processing for computer vision Proceedings of Spie - the International Society For Optical Engineering. 336: 161-167. DOI: 10.1117/12.933624 |
0.239 |
|
1996 |
Mudge T. Report on the panel: “how can computer architecture researchers avoid becoming the society for irreproducible results?” Acm Sigarch Computer Architecture News. 24: 1-5. DOI: 10.1145/232790.232791 |
0.238 |
|
1989 |
Hayes JP, Mudge T. Hypercube Supercomputers Proceedings of the Ieee. 77: 1829-1841. DOI: 10.1109/5.48826 |
0.238 |
|
1990 |
Clapp RM, Mudge T. Parallel and distributed issues Acm Sigada Ada Letters. 33-37. DOI: 10.1145/322837.322843 |
0.236 |
|
1990 |
Clapp RM, Mudge TN, Winsor DC. Cache coherence requirements for interprocess rendezvous International Journal of Parallel Programming. 19: 31-51. DOI: 10.1007/BF01407863 |
0.235 |
|
2006 |
Lee H, Mudge T, Chakrabarti C. Reducing idle mode power in software defined radio terminals Proceedings of the International Symposium On Low Power Electronics and Design. 2006: 101-106. DOI: 10.1145/1165573.1165597 |
0.234 |
|
1994 |
Upton M, Huff T, Mudge T, Brown R. Resource Allocation in a High Clock Rate Microprocessor Acm Sigplan Notices. 29: 98-109. DOI: 10.1145/195470.195510 |
0.234 |
|
1998 |
Jacob BL, Mudge TN. A Look at Several Memory Management Units, TLB-Refill Mechanisms, and Page Table Organizations Sigplan Notices (Acm Special Interest Group On Programming Languages). 33: 295-306. |
0.226 |
|
2004 |
Lee S, Das S, Pham T, Austin T, Blaauw D, Mudge T. Reducing Pipeline Energy Demands with Local DVS and Dynamic Retiming Proceedings of the International Symposium On Low Power Electronics and Design. 2004: 319-324. DOI: 10.1109/LPE.2004.241094 |
0.226 |
|
2003 |
Kim NS, Mudge T. The Microarchitecture of a Low Power Register File Proceedings of the International Symposium On Low Power Electronics and Design. 384-389. |
0.226 |
|
2014 |
Mudge T. Improving data cache performance by pre-executing instructions under a cache miss Proceedings of the International Conference On Supercomputing. 40-41. DOI: 10.1145/2591635.2591655 |
0.226 |
|
1984 |
Rutenbar RA, Mudge TN, Atkins DE. A Class of Cellular Architectures to Support Physical Design Automation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 3: 264-278. DOI: 10.1109/Tcad.1984.1270085 |
0.225 |
|
2014 |
Pusdesris J, VanderSloot B, Mudge T. A memory rename table to reduce energy and improve performance Proceedings of the International Symposium On Low Power Electronics and Design. 279-282. DOI: 10.1145/2627369.2627655 |
0.223 |
|
1990 |
Olukotun OA, Mudge TN. Hierarchical gate-array routing on a hypercube multiprocessor Atomic Data and Nuclear Data Tables. 8: 313-324. DOI: 10.1016/0743-7315(90)90130-H |
0.221 |
|
2005 |
Ringenberg J, Pelosi C, Oehmke D, Mudge T. Intrinsic checkpointing: A methodology for decreasing simulation time through binary modification Ispass 2005 - Ieee International Symposium On Performance Analysis of Systems and Software. 2005: 78-88. DOI: 10.1109/ISPASS.2005.1430561 |
0.22 |
|
2003 |
Kim NS, Mudge T, Brown R. A 2.3Gb/s fully integrated and synthesizable AES rijndael core Proceedings of the Custom Integrated Circuits Conference. 193-196. |
0.215 |
|
2010 |
Dasika G, Sethia A, Robby V, Mudge T, Mahlke S. MEDICS: Ultra-portable processing for medical image reconstruction Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 181-192. DOI: 10.1145/1854273.1854299 |
0.212 |
|
1995 |
Burks TM, Sakallah KA, Mudge TN. Critical Paths in Circuits with Level-Sensitive Latches Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 3: 273-291. DOI: 10.1109/92.386227 |
0.211 |
|
2000 |
Lefurgy C, Piccininni E, Mudge T. Reducing code size with run-time decompression Ieee High-Performance Computer Architecture Symposium Proceedings. 218-227. |
0.208 |
|
1987 |
Volz RA, Mudge TN. Correspondence: Instruction Level Timing Mechanisms for Accurate Real-time Task Scheduling Ieee Transactions On Computers. 988-993. DOI: 10.1109/TC.1987.5009521 |
0.208 |
|
1994 |
Uhlig R, Nagle D, Mudge T, Sechrest S. Trap-Driven Simulation with Tapeworm II Acm Sigplan Notices. 29: 132-144. DOI: 10.1145/195470.195521 |
0.208 |
|
1996 |
Golden M, Mudge T. Comparison of two common pipeline structures Iee Proceedings: Computers and Digital Techniques. 143: 161-167. |
0.206 |
|
1987 |
Mudge TN, Al-Sadoun HB, Makrucki BA. MEMORY-INTERFERENCE MODEL FOR MULTIPROCESSORS BASED ON SEMI-MARKOV PROCESSES Iee Proceedings E: Computers and Digital Techniques. 134: 203-214. |
0.205 |
|
1987 |
Olukotun OA, Mudge TN. PRELIMINARY INVESTIGATION INTO PARALLEL ROUTING ON A HYPERCUBE COMPUTER Proceedings - Design Automation Conference. 814-820. |
0.203 |
|
1990 |
Clapp RM, Mudge T, Roy D. Recommendations and future trends Acm Sigada Ada Letters. 98-110. DOI: 10.1145/322837.322849 |
0.2 |
|
1985 |
Leonard PF, Mudge TN. System design for local neighborhood processing Proceedings of Spie - the International Society For Optical Engineering. 534: 44-51. DOI: 10.1117/12.946563 |
0.196 |
|
2005 |
Austin T, Bertacco V, Blaauw D, Mudge T. Opportunities and challenges for Better Than Worst-Case design Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 1. |
0.191 |
|
2015 |
Mudge T. Thoughts on Winning the 2014 Eckert-Mauchly Award Ieee Micro. 35: 144-146. DOI: 10.1109/Mm.2015.68 |
0.191 |
|
1999 |
Van Campenhout D, Mudge T, Sakallah KA. Timing verification of sequential dynamic circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 645-658. DOI: 10.1109/43.759081 |
0.188 |
|
1985 |
Mudge TN, Al-Sadoun HB. SEMI-MARKOV MODEL FOR THE PERFORMANCE OF MULTIPLE-BUS SYSTEMS Proceedings of the International Conference On Parallel Processing. 521-530. |
0.184 |
|
1984 |
Mudge TN, Hayes JP, Buzzard GD, Winsor DC. ANALYSIS OF MULTIPLE BUS INTERCONNECTION NETWORKS Proceedings of the International Conference On Parallel Processing. 228-232. |
0.183 |
|
1990 |
Clapp RM, Mudge T. The space problem Acm Sigada Ada Letters. 29-32. DOI: 10.1145/322837.322842 |
0.179 |
|
2007 |
Tokunaga C, Blaauw D, Mudge T. True random number generator with a metastability-based quality control Digest of Technical Papers - Ieee International Solid-State Circuits Conference. DOI: 10.1109/ISSCC.2007.373465 |
0.178 |
|
2012 |
Chang J, Ranganathan P, Mudge T, Roberts D, Shah MA, Lim KT. A limits study of benefits from nanostore-based future data-centric system architectures Cf '12 - Proceedings of the Acm Computing Frontiers Conference. 33-42. DOI: 10.1145/2212908.2212915 |
0.177 |
|
1997 |
Uhlig RA, Mudge TN. Trace-driven memory simulation: A survey Acm Computing Surveys. 29. |
0.176 |
|
1992 |
Sakallah KA, Mudge TN, Olukotun OA. Analysis and Design of Latch-Controlled Synchronous Digital Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 11: 322-333. DOI: 10.1109/43.124419 |
0.173 |
|
1993 |
Kayssi AI, Sakallah KA, Mudge TN. The Impact of Signal Transition Time on Path Delay Computation Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 40: 302-309. DOI: 10.1109/82.227370 |
0.171 |
|
1987 |
Mudge TN, Hayes JP, Winsor DC. Multiple Bus Architectures Computer. 20: 42-48. DOI: 10.1109/MC.1987.1663590 |
0.169 |
|
2011 |
Yang C, Emre Y, Chakrabarti C, Mudge T. Flexible product code-based ECC schemes for MLC NAND Flash memories 2011 Ieee Workshop On Signal Processing Systems, Sips 2011, Proceedings. 255-260. DOI: 10.1109/SiPS.2011.6088985 |
0.169 |
|
1982 |
Mudge TN, Makrucki BA. ANALYSIS OF A MULTIPORT MEMORY . 639-643. |
0.168 |
|
1982 |
Mudge TN, Delp EJ. SPECIAL PURPOSE ARCHITECTURES FOR COMPUTER VISION Proceedings of the Hawaii International Conference On System Science. 378-387. |
0.16 |
|
1987 |
Volz RA, Mudge TN, Buzzard GD, Krishnan P. Translation and execution of distributed ada programs: Is it still ada? Proceedings of Spie - the International Society For Optical Engineering. 729: 114-125. DOI: 10.1117/12.964865 |
0.158 |
|
1996 |
Chen ICK, Coffey JT, Mudge TN. Analysis of branch prediction via data compression Computer Architecture News. 24: 128-137. |
0.154 |
|
1984 |
Mudge TN, Al-Sadoun HB. Memory Interference Models with Variable Connection Time Ieee Transactions On Computers. 1033-1038. DOI: 10.1109/TC.1984.1676378 |
0.152 |
|
2008 |
Lin Y, Choi Y, Mahlke S, Mudge T, Chakrabarti C. A parameterized dataflow language extension for embedded streaming systems Proceedings - 2008 International Conference On Embedded Computer Systems: Architectures, Modeling and Simulation, Ic-Samos 2008. 10-17. DOI: 10.1109/ICSAMOS.2008.4664841 |
0.149 |
|
2005 |
Mudge T. Introduction to the special section on energy efficient computing Ieee Transactions On Computers. 54: 641. DOI: 10.1109/TC.2005.101 |
0.149 |
|
1998 |
Tyson GS, Reinhardt S, Mudge T. Computer architecture instruction at the University of Michigan Proceedings of the 1998 Workshop On Computer Architecture Education, Wcae 1998 At Isca-25. DOI: 10.1145/1275182.1275184 |
0.136 |
|
2003 |
Mudge T, Polonichko V. The evolution of a deep water current meter, the argonaut-MD Oceans Conference Record (Ieee). 2: 786-789. |
0.136 |
|
1984 |
Mudge TN, Turney JL. Unifying Robot Arm Control Ieee Transactions On Industry Applications. 1554-1563. DOI: 10.1109/TIA.1984.4504641 |
0.131 |
|
1984 |
Volz RA, Mudge TN, Gal DA. Using Ada as a Programming Language For Robot-Based Manufacturing Cells Ieee Transactions On Systems, Man and Cybernetics. 863-878. DOI: 10.1109/TSMC.1984.6313313 |
0.128 |
|
2009 |
Saidi AG, Binkert NL, Reinhardt SK, Mudge T. End-to-end performance forecasting: Finding bottlenecks before they happen Proceedings - International Symposium On Computer Architecture. 361-370. DOI: 10.1145/1555754.1555800 |
0.126 |
|
1989 |
Gottschalk PG, Turney JL, Mudge TN. Efficient Recognition of Partially Visible Objects Using a Logarithmic Complexity Matching Technique The International Journal of Robotics Research. 8: 110-131. DOI: 10.1177/027836498900800608 |
0.125 |
|
1986 |
Antonelli CJ, Volz RA, Mudge TN. Hierarchical decomposition and simulation of manufacturing cells using Ada Simulation. 46: 141-152. DOI: 10.1177/003754978604600402 |
0.12 |
|
1998 |
Van Campenhout D, Al-Asaad H, Hayes JP, Mudge T, Brown RB. High-level design verification of microprocessors via error modeling Acm Transactions On Design Automation of Electronic Systems. 3: 581-599. |
0.117 |
|
1983 |
Mudge TN, Volz RA, Atkins DE. Hardware/software transparency in robotics through object level design Proceedings of Spie - the International Society For Optical Engineering. 360: 216-223. DOI: 10.1117/12.934104 |
0.11 |
|
1989 |
Gottschalk PG, Mudge T. Efficient encoding of local shape: Features for 3-d object recognition Proceedings of Spie - the International Society For Optical Engineering. 1002: 46-57. DOI: 10.1117/12.960258 |
0.105 |
|
1976 |
Smith JE, Mudge T. CHARACTERISTICS OF SOME AUGMENTED PETRI NETS . 606-615. |
0.103 |
|
1985 |
Mudge TN. VISION ALGORITHMS FOR HYPERCUBE MACHINES Ieee Computer Society Workshop On Computer Architecture For Pattern Analysis and Image Database Ma. 225-230. DOI: 10.1016/0743-7315(87)90009-8 |
0.101 |
|
1986 |
Turney JL, Mudge TN, Volz RA. SOLVING THE BIN OF PARTS PROBLEM . |
0.092 |
|
1982 |
Mudge TN, Makrucki BA. APPROXIMATE QUEUEING MODEL FOR PACKET SWITCHED MULTISTAGE INTERCONNECTION NETWORKS Proceedings - International Conference On Distributed Computing Systems. 556-562. |
0.087 |
|
2005 |
Mudge T, Sloat J, Chen J. Discharge and current profiles under the ice Proceedings of the Ieee Working Conference On Current Measurement Technology. 101-105. |
0.085 |
|
2006 |
Karl E, Blaauw D, Sylvester D, Mudge T. Reliability modeling and management in dynamic microprocessor-based systems Proceedings - Design Automation Conference. 1057-1060. DOI: 10.1145/1146909.1147174 |
0.082 |
|
2003 |
Broomfield JR, Mudge T, Nespeco R, Nadon P. ISO 9001:2000 Interpretive guide for the design and construction project team Asq Annual Quality Congress Proceedings. 533-534. |
0.082 |
|
1987 |
Mudge TN, Turney JL, Volz RA. Automatic generation of salient features for the recognition of partially occluded parts Robotica. 5: 117-127. DOI: 10.1017/S0263574700015083 |
0.08 |
|
1986 |
Dolezal RM, Mudge TN, Turney JL, Volz RA. Determining the pose of an object Proceedings of Spie - the International Society For Optical Engineering. 595: 68-71. DOI: 10.1117/12.949587 |
0.078 |
|
1981 |
Turney JL, Mudge TN. VLSI IMPLEMENTATION OF A NUMERICAL PROCESSOR FOR ROBOTICS Instrumentation in the Aerospace Industry, Proceedings of the Isaaerospace Instrumentation Symposium. 27: 169-175. |
0.078 |
|
2003 |
Mudge T, Polonichko V, Edelman J. Current and wave measurements using an ADV equipped with automatic velocity range setting Proceedings of the Ieee Working Conference On Current Measurement. 215-218. |
0.076 |
|
2008 |
Saidi AG, Binkert NL, Reinhardt SK, Mudge T. Full-system critical path analysis Ispass 2008 - Ieee International Symposium On Performance Analysis of Systems and Software. 63-74. DOI: 10.1109/ISPASS.2008.4510739 |
0.075 |
|
1983 |
Mudge TN, Buzzard GD. Teaching Assembly Language Programming with ZIP, a Z80 Assembly Language Interpreter Program Ieee Transactions On Education. 26: 91-98. DOI: 10.1109/TE.1983.4321615 |
0.073 |
|
2007 |
Yousif M, Louri A, Mudge T. Message from the general chairs and the program chair Proceedings - International Symposium On High-Performance Computer Architecture. DOI: 10.1109/HPCA.2007.346174 |
0.072 |
|
1983 |
Rutenbar RA, Mudge TN, Atkins DE. WIRE ROUTING EXPERIMENTS ON A RASTER PIPELINE SUBARRAY MACHINE . 135-136. |
0.07 |
|
2005 |
Hay AE, Mudge T. Principal bed states during SandyDuck97: Occurrence, spectral anisotropy, and the bed state storm cycle Journal of Geophysical Research C: Oceans. 110: 1-18. DOI: 10.1029/2004Jc002451 |
0.066 |
|
1996 |
Chen ICK, Coffey JT, Mudge TN. Analysis of branch prediction via data compression Operating Systems Review (Acm). 30: 128-137. |
0.066 |
|
2014 |
Ross E, Fissel D, Mudge T, Kanwar A, Sadowy D, Ole-Christiai E. The sensitivity of ice keel statistics to upward looking sonar ice draft processing methods Society of Petroleum Engineers - Arctic Technology Conference 2014. 467-474. |
0.058 |
|
1982 |
Mudge TN, Delp EJ, Siegel LJ, Siegel HJ. IMAGE CODING USING THE MULTIMICROPROCESSOR SYSTEM PASM Proceedings - Ieee Computer Society Conference On Pattern Recognition and Image Processing. 200-205. |
0.057 |
|
1981 |
Mudge TN. SPECIAL PURPOSE VLSI PROCESSORS FOR INDUSTRIAL ROBOTS Proceedings - Ieee Computer Society's International Computer Software and Applications Conference. 270-271. |
0.056 |
|
1982 |
Lee CSG, Mudge TN, Turney JL. HIERARCHICAL CONTROL STRUCTURE USING SPECIAL PURPOSE PROCESSORS FOR THE CONTROL OF ROBOT ARMS Proceedings - Ieee Computer Society Conference On Pattern Recognition and Image Processing. 634-640. |
0.05 |
|
1983 |
Lee CSG, Mudge TN. ADVANCED CONTROL FOR MULTIROBOT ASSEMBLY SYSTEMS Proceedings - Society of Automotive Engineers. 129-135. |
0.049 |
|
1983 |
Mudge TN, Abdel-Rahman TS. CASE STUDY OF A PROGRAM FOR THE RECOGNITION OF OCCLUDED PARTS Ieee Computer Society Workshop On Computer Architecture For Pattern Analysis and Image Database Ma. 56-60. |
0.047 |
|
1986 |
Clapp RM, Mudge TN, Volz RA. Solutions to the n Queens problem using tasking in Ada Acm Sigplan Notices. 21: 99-110. DOI: 10.1145/15042.15046 |
0.046 |
|
2012 |
Loos E, Brown L, Borstad G, Mudge T, Alvarez M. Characterization of oil slicks at sea using remote sensing techniques Oceans 2012 Mts/Ieee: Harnessing the Power of the Ocean. DOI: 10.1109/OCEANS.2012.6405033 |
0.044 |
|
2004 |
Mudge T, Sloat J. High resolution velocity profiles through ice cover Ocean '04 - Mts/Ieee Techno-Ocean '04: Bridges Across the Oceans - Conference Proceedings. 3: 1634-1637. |
0.044 |
|
1985 |
Turney JL, Mudge TN, Volz RA. Recognizing partially hidden objects Proceedings of Spie - the International Society For Optical Engineering. 521: 108-113. DOI: 10.1117/12.946169 |
0.041 |
|
1983 |
Volz RA, Mudge TN, Gal DA. USING ADA AS A ROBOT SYSTEM PROGRAMMING LANGUAGE . |
0.033 |
|
1983 |
Lee CSG, Chung MJ, Turney JL, Mudge TN. ON THE CONTROL OF MECHANICAL MANIPULATORS . 2: 1629-1634. |
0.031 |
|
1985 |
Mudge T. The suppression of lactation. A rational approach Current Therapeutics. 26: 51-55. |
0.024 |
|
1984 |
Turney JL, Mudge TN, Volz RA. EXPERIMENTS IN OCCLUDED PARTS RECOGNITION Proceedings of Spie - the International Society For Optical Engineering. 449: 719-725. |
0.013 |
|
Hide low-probability matches. |